I’m designing a relatively simple circuit. Using two 4 to 2 encoders to realize an 8 to 3 encoder. Only been learning VHDL the last month. I don’t know what this error means. I’ve done similar circuits without error so I’m not sure what the difference is.
Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|a»
Error (12015): Net is fed by «ENCODER4X2:M2|a»
Error (12014): Net «b», which fans out to «b», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|b»
Error (12015): Net is fed by «ENCODER4X2:M2|b»
top design:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
Entity ENCODER8X3 IS
PORT (i0, i1, i2, i3, i4, i5, i6, i7 : IN STD_LOGIC;
error 12014 -> a, b, c, d : OUT STD_LOGIC);
END ENCODER8X3;
ARCHITECTURE STRUCT OF ENCODER8X3 IS
signal w1 : std_LOGIC;
COMPONENT ENCODER4X2
PORT (i0, i1, i2, i3 : IN STD_LOGIC;
a, b, c : OUT STD_LOGIC);
END COMPONENT;
BEGIN
w1 <= not (i0 OR i2 OR i4 OR i6);
M1: ENCODER4X2 PORT MAP (i0, i2, i4, i6, a, b, w1);
M2: ENCODER4X2 PORT MAP (i1, i3, i5, i7, a, b, d);
END STRUCT;
slave device:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY ENCODER4X2 IS
PORT (i0, i1, i2, i3 : IN STD_LOGIC;
error 12015 -> a, b, c : OUT STD_LOGIC);
END ENCODER4X2;
ARCHITECTURE STRUCT OF ENCODER4X2 IS
BEGIN
a <= i3 OR i2;
b <= (i1 AND (NOT i2)) OR i3;
c <= i0 OR i1 OR i2 OR i3;
END STRUCT;
It’s probably some stupid mistake that I’m overlooking. I hope so. Any help is appreciated.
Содержание
- Error net is fed by
- Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
- Error net is fed by
- Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
- Strange error in Quartus II 3.0
- Panic
- Help needed on VHDL code.
- kokei74
- kvingle
- kokei74
- kvingle
- kokei74
- kokei74
- Error net is fed by
- Warning (15610): No output dependent on input pin
Error net is fed by
Success! Subscription added.
Success! Subscription removed.
Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.
- Intel Communities
- Product Support Forums
- FPGA
- Intel® Quartus® Prime Software
- Re: Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Mute
- Printer Friendly Page
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I’m designing a relatively simple circuit. Using two 4 to 2 encoders to realize an 8 to 3 encoder. Only been learning VHDL the last month. I don’t know what this error means. I’ve done similar circuits without error so I’m not sure what the difference is.
Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|a»
Error (12015): Net is fed by «ENCODER4X2:M2|a»
Error (12014): Net «b», which fans out to «b», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|b»
Error (12015): Net is fed by «ENCODER4X2:M2|b»
Entity ENCODER8X3 IS
PORT (i0, i1, i2, i3, i4, i5, i6, i7 : IN STD_LOGIC;
error 12014 -> a, b, c, d : OUT STD_LOGIC);
Источник
Error net is fed by
Success! Subscription added.
Success! Subscription removed.
Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.
- Intel Communities
- Product Support Forums
- FPGA
- Intel® Quartus® Prime Software
- Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Mute
- Printer Friendly Page
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I’m designing a relatively simple circuit. Using two 4 to 2 encoders to realize an 8 to 3 encoder. Only been learning VHDL the last month. I don’t know what this error means. I’ve done similar circuits without error so I’m not sure what the difference is.
Error (12014): Net «a», which fans out to «a», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|a»
Error (12015): Net is fed by «ENCODER4X2:M2|a»
Error (12014): Net «b», which fans out to «b», cannot be assigned more than one value
Error (12015): Net is fed by «ENCODER4X2:M1|b»
Error (12015): Net is fed by «ENCODER4X2:M2|b»
Entity ENCODER8X3 IS
PORT (i0, i1, i2, i3, i4, i5, i6, i7 : IN STD_LOGIC;
error 12014 -> a, b, c, d : OUT STD_LOGIC);
Источник
Strange error in Quartus II 3.0
Panic
After searching for the source of an error for quite a long time, I’ve
decided that I need some help, and once again you guys drew the shortest
straw 😉
I have a 8 bit DFF with output q[7..0]. This feeds the net
dff_inst23_out[7..0]. (The reason this net is given this name, was to see if
the error actually was located where I thought it was, since the original
error pointed to some temp net.) Both the DFF output and the net is 8 bits
wide, and still I get this error message:
Error: Net dff_inst23_out[6] cannot be assigned more than one value
Error: Net is fed by std_8bit_dff0:inst8|lpm_ff:lpm_ff_component|dffs[6]
Error: Net is fed by std_8bit_dff0:inst9|lpm_ff:lpm_ff_component|dffs[6]
Error: Net is fed by std_8bit_dff0:inst23|lpm_ff:lpm_ff_component|dffs[6]
This is repeated for each bit of dff_inst23_out.
Ok, so I have two other registers that feed this net, but they are not
connected! I understand that this is happening because the output of these
other registers are the same as the inst23 one, but hey, I’ve got more
registers like that, all over the place! So why is this happening to this
particular net?
I’ve taken a screenshot of the design in question, and my troublesome net is
the blue stub:
http://www.battlefield.no/bilder/inst23.gif
Any suggestions would be appreaciated!
Sincerely
-«Panic»
Источник
Help needed on VHDL code.
kokei74
Junior Member level 3
hi, im quite new to VHDL and currently is learning now. I try to create a D flip flop with enable from a D flip flop using a port map.
here is VHDL for DFF
library ieee;
use ieee.std_logic_1164.all;
entity dfflop is
port (D,clock :IN std_logic;
Q :OUT std_logic);
end dfflop;
architecture logic of dfflop is
begin
process (clock)
begin
if clock’event AND clock = ‘1’ THEN
Q
kvingle
Full Member level 5
You are getting this error because you are trying to read the output port Qo which is not permitted .
Solve this by defining an internal signal. below is the code.
kokei74
Junior Member level 3
i tried to used ur code but error still occur.
0″, which fans out to «Q0», cannot be assigned more than one value
Error: Net is fed by «comb
0″
Error: Net is fed by «dfflop:stage0|Q»
what thus that mean?
kvingle
Full Member level 5
kokei74
Junior Member level 3
its work fine. Thx a lot.
but maybe i will need ur help again. Ater this i want to combine this Dff to create a register.
kokei74
Junior Member level 3
hi again..
suppose when EN = 1 the the data on 4 input is transfer into the register with the next positive clock edge. when EN = 0 the current value remains in the register at the next positive clock edge. So EN will determine whether the next pulse accepts new information or leave the information in the register . From my simulation why does the output 0100, 0101, 0110 did`t come out after 0011 but it skip to 0111 and suppose when EN = 0 after that it should preserve 0111 until the next positive clock edge.
VHDL for register
library ieee;
use ieee.std_logic_1164.all;
port ( A :IN std_logic_vector (3 downto 0);
Load,clk :IN std_logic;
X :OUT std_logic_vector (3 downto 0));
architecture logic of reg is
component DFF_en
port (EN,D0,C :IN std_logic;
Q0 :OUT std_logic);
end component;
stage0 : DFF_en port map ( A(0),Load,clk,X(0));
stage1 : DFF_en port map ( A(1),Load,clk,X(1));
stage2 : DFF_en port map ( A(2),Load,clk,X(2));
stage3 : DFF_en port map ( A(3),Load,clk,X(3));
Источник
Error net is fed by
Success! Subscription added.
Success! Subscription removed.
Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.
- Intel Communities
- Product Support Forums
- FPGA
- Intel® Quartus® Prime Software
- Warning (15610): No output dependent on input pin
Warning (15610): No output dependent on input pin
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Mute
- Printer Friendly Page
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I’m designing a functional unit of DP. I’m getting these warnings for no reason. Is there anybody can help me with it. Any help will be really appreciated.
Note: I attached the project archive.
Warning (15610): No output dependent on input pin «TAU_A»
Warning (15610): No output dependent on input pin «TAU_B»
Warning (15610): No output dependent on input pin «TAU_C»
Warning (15610): No output dependent on input pin «TSR_A»
Warning (15610): No output dependent on input pin «TSR_B»
Warning (15610): No output dependent on input pin «TSR_C»
Warning (15610): No output dependent on input pin «ld_tau»
Warning (15610): No output dependent on input pin «ld_tsr»
Warning (15610): No output dependent on input pin «oper_sel0»
Warning (15610): No output dependent on input pin «oper_sel1»
Warning (15610): No output dependent on input pin «oper_sel2»
Warning (15610): No output dependent on input pin «oper_sel3»
Warning (15610): No output dependent on input pin «oper_sel4»
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
The reason is simple and can be seen in the below always block. There are multiple assignments to each x_bus_out, but only the last takes place.
always @ (TAU_A, TAU_B, TAU_C, TSR_A, TSR_B, TSR_C, TR_A, TR_B, TR_C, tau_w2, tsr_w2, tr_w2) begin if(TAU_A == 1) begin A_bus_out = tau_w2; end else begin A_bus_out = 12’hzzz; end if(TAU_B == 1) B_bus_out = tau_w2; else B_bus_out = 12’hzzz; if(TAU_C == 1) C_bus_out = tau_w2; else C_bus_out = 12’hzzz; ///////////////////////// if(TSR_A == 1) A_bus_out = tsr_w2; else A_bus_out = 12’hzzz; if(TSR_B == 1) B_bus_out = tsr_w2; else B_bus_out = 12’hzzz; if(TSR_C == 1) C_bus_out = tsr_w2; else C_bus_out = 12’hzzz; ////////////////////////// if(TR_A) A_bus_out = tr_w2; else A_bus_out = 12’hzzz; if(TR_B) B_bus_out = tr_w2; else B_bus_out = 12’hzzz; if(TR_C) C_bus_out = tr_w2; else C_bus_out = 12’hzzz; end
You probably meaned to write (and similar for the other outputs):
if(TAU_A == 1) A_bus_out = tau_w2; else if(TSR_A == 1) A_bus_out = tsr_w2; else if(TR_A) A_bus_out = tr_w2; else A_bus_out = 12’hzzz;
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Thank you so much FvM. Yes, you are right.
The warnings disappeared and it replaced by new errors that do not make sense to me.
I connected the same wire to several blocks and I got these errors.
It shows only for these two blocks that mentioned below. I did the same for others, but it does not show as an error.
I attached the new project and thank you again for your time.
Error (12014): Net «tr_w1[11]», which fans out to «FU_reg:TR_reg_Inst|in0[11]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[23]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[11]»
Error (12014): Net «tr_w1[10]», which fans out to «FU_reg:TR_reg_Inst|in0[10]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[22]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[10]»
Error (12014): Net «tr_w1[9]», which fans out to «FU_reg:TR_reg_Inst|in0[9]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[21]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[9]»
Error (12014): Net «tr_w1[8]», which fans out to «FU_reg:TR_reg_Inst|in0[8]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[20]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[8]»
Error (12014): Net «tr_w1[7]», which fans out to «FU_reg:TR_reg_Inst|in0[7]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[19]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[7]»
Error (12014): Net «tr_w1[6]», which fans out to «FU_reg:TR_reg_Inst|in0[6]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[18]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[6]»
Error (12014): Net «tr_w1[5]», which fans out to «FU_reg:TR_reg_Inst|in0[5]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[17]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[5]»
Error (12014): Net «tr_w1[4]», which fans out to «FU_reg:TR_reg_Inst|in0[4]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[16]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[4]»
Error (12014): Net «tr_w1[3]», which fans out to «FU_reg:TR_reg_Inst|in0[3]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[15]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[3]»
Error (12014): Net «tr_w1[2]», which fans out to «FU_reg:TR_reg_Inst|in0[2]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[14]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[2]»
Error (12014): Net «tr_w1[1]», which fans out to «FU_reg:TR_reg_Inst|in0[1]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[13]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[1]»
Error (12014): Net «tr_w1[0]», which fans out to «FU_reg:TR_reg_Inst|in0[0]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_mul_1:amz_mul_1_inst|result[12]»
Error (12015): Net is fed by «amz_div_1:amz_div_1_inst|remain[0]»
Error (12014): Net «overflow_sig», which fans out to «FU_reg:TSR_reg_Inst|in0[9]», cannot be assigned more than one value
Error (12015): Net is fed by «amz_add_sub_1:amz_add_sub_1_inst|overflow»
Error (12015): Net is fed by «amz_log_shift:amz_log_shift_inst|overflow»
Error (12015): Net is fed by «amz_arith_shift:amz_arith_shift_inst|overflow»
Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 40 errors, 1 warning
Error: Peak virtual memory: 435 megabytes
Error: Processing ended: Thu Feb 27 10:34:49 2014
Error: Elapsed time: 00:00:02
Error: Total CPU time (on all processors): 00:00:02
Источник
So below is my priority circuit module
module prm (input logic D, A, E, F,
output logic [3:0] y);
always_comb
if (D) y = 4'b1000;
else if (A) y = 4'b0100;
else if (E) y = 4'b0010;
else if (F) y = 4'b0001;
else y = 4'b0000;
endmodule
and I need its output to be linked with the input of seven segment module
module segprm (input logic [3:0] y,
output logic [6:0] seg);
prm prm1 (.y(y));
always_comb
case (y)
1000: seg = 7'b000_0000;
0100: seg = 7'b100_1100;
0010: seg = 7'b000_0110;
0001: seg = 7'b100_1111;
default: seg = 7'b111_1111;
endcase
endmodule
My thought was to create an instance and link output y of prm to input y of segprm using ports
prm prm1 (.y(y));
but I get these errors and I can’t think any other way to connect these modules together
Error (12014): Net «y[3]», which fans out to «Equal0», cannot be assigned more than one value
Error (12015): Net is fed by «prm:prm1|y[3]»
Error (12015): Net is fed by «y[3]»
Error (12014): Net «y[2]», which fans out to «Equal0», cannot be assigned more than one value
Error (12015): Net is fed by «prm:prm1|y[2]»
Error (12015): Net is fed by «y[2]»
Error (12014): Net «y[1]», which fans out to «Equal0», cannot be assigned more than one value
Error (12015): Net is fed by «prm:prm1|y[1]»
Error (12015): Net is fed by «y[1]»
Error (12014): Net «y[0]», which fans out to «Equal0», cannot be assigned more than one value
Error (12015): Net is fed by «prm:prm1|y[0]»
Error (12015): Net is fed by «y[0]»
Note1: I’m using Quartus Prime Lite Edition
Note2: I must use only prm and for top level hierarchy segprm
Эта тема
- Везде
-
- Эта тема
- Этот форум
-
- Расширенный поиск
Поиск
I fixed one small issue in 4af92110, but still there are many errors in the DDR3 module:
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDqs_iob2[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDqs_iob2[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2n[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2n[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2n[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDqs_iob2n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_bar_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2n[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2n[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDqs_iob2n[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDqs_iob2n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDqs_iob2n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_bar_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[15]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[15]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[15]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[7].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[14]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[14]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[14]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[6].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[13]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[13]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[13]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[5].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[12]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[12]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[12]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[4].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[11]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[11]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[11]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[3].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[10]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[10]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[10]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[2].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[9]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[9]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[9]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[1].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[8]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[8]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[8]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[0].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[7]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[7]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[7]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[7].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[6]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[6]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[6]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[6].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[5]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[5]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[5]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[5].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[4]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[4]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[4]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[4].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[3]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[3]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[3]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[3].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[2]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[2]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[2]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[2].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[1].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3aDq_iob16[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3aDq_iob16[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3aDq_iob16[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|phy_mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3M:i_Ddr3M|Ddr3M_0002:ddr3m_inst|Ddr3M_p0:p0|Ddr3M_p0_memphy:umemphy|Ddr3M_p0_new_io_pads:uio_pads|Ddr3M_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[0].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDqs_iob2[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|mem_dqs[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDqs_iob2[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|mem_dqs[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2n[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2n[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2n[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDqs_iob2n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|mem_dqs_n[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_bar_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2n[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2n[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDqs_iob2n[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDqs_iob2n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDqs_iob2n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|mem_dqs_n[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|strobe_n_io"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|obuf_os_bar_0"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[15]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[15]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[15]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[15]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[7].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[14]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[14]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[14]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[14]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[6].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[13]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[13]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[13]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[13]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[5].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[12]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[12]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[12]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[12]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[4].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[11]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[11]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[11]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[11]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[3].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[10]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[10]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[10]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[10]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[2].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[9]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[9]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[9]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[9]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[1].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[8]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[8]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[8]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[8]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[1].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[0].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[7]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[7]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[7]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[7]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[7].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[6]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[6]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[6]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[6]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[6].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[5]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[5]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[5]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[5]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[5].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[4]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[4]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[4]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[4]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[4].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[3]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[3]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[3]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[3]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[3].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[2]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[2]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[2]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[2]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[2].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[1]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[1]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[1]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[1]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[1].data_out"
Error (12014): Net "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[0]", which fans out to "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[0]", cannot be assigned more than one value
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|Ddr3bDq_iob16[0]"
Error (12015): Net is fed by "GND"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|Ddr3bDq_iob16[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3bDq_iob16[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|phy_mem_dq[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|read_write_data_io[0]"
Error (12015): Net is fed by "VfcHdApplication:i_VfcHdApplication|TopVfcDdr3:i_TopVfcDdr3|VfcDdr3:i_VfcDdr3|Ddr3S:i_Ddr3S|Ddr3S_0002:ddr3s_inst|Ddr3S_p0:p0|Ddr3S_p0_memphy:umemphy|Ddr3S_p0_new_io_pads:uio_pads|Ddr3S_p0_altdqdqs:dq_ddio[0].ubidir_dq_dqs|altdq_dqs2_acv_arriav_quarter_rate_mode:altdq_dqs2_inst|pad_gen[0].data_out"
Error: Quartus Prime Analysis & Synthesis was unsuccessful. 520 errors, 97 warnings
Error: Peak virtual memory: 2477 megabytes
Error: Processing ended: Mon Oct 1 09:56:20 2018
Error: Elapsed time: 00:01:58
Error: Total CPU time (on all processors): 00:02:12
Error (293001): Quartus Prime Full Compilation was unsuccessful. 522 errors, 97 warnings
В этом разделе рассказывается об устранении неполадок, связанных
с событием 12014. Событие 12014 — это предупреждение,
указывающее на проблему возникшую при загрузке сертификата,
используемого для STARTTLS. Как правило, эта проблема возникает при
выполнении одного из указанных ниже условий.
- Полное доменное имя, указанное в предупреждении, было
определено на соединителе отправки или соединителе приема на
транспортном сервере Microsoft Exchange Server 2007.
Кроме того, на компьютере, содержащем полное доменное имя в полях
«Субъект» или «Дополнительное имя субъекта», не установлены
сертификаты. - На сервере установлен сертификат стороннего поставщика или
настраиваемый сертификат. Этот сертификат содержит соответствующее
полное доменное имя. Однако этот сертификат не включен для службы
SMTP.
Для использования протокола TLS (Transport Layer Security)
необходимо, чтобы в личном хранилище сертификатов на компьютере был
установлен допустимый сертификат.
Предварительная подготовка
Для выполнения описанных ниже действий используемой
учетной записи необходимо делегировать следующие полномочия:
- роль администратора Exchange с правами на просмотр для
выполнения командлета Get-ExchangeCertificate; - роль администратора сервера Exchange Server и членство в
локальной группе администраторов целевого сервера для выполнения
командлетов New-ExchangeCertificate и
Enable-ExchangeCertificate.
Для выполнения любого из указанных выше командлетов на
компьютере с установленной ролью пограничного транспортного сервера
необходимо войти в систему с учетной записью, входящей в локальную
группу администраторов на этом компьютере.
Дополнительные сведения о разрешениях, делегировании
ролей и правах, необходимых для администрирования сервера Exchange
Server 2007, см. в разделе Вопросы, связанные с
разрешениями.
Порядок действий
Устранение
данного предупреждения
-
Проверьте конфигурацию сертификатов, установленных на
сервере Exchange Server и конфигурацию всех соединителей приема и
отправки, установленных на сервере. Для просмотра конфигурации
выполните следующие команды:Копировать код Get-ExchangeCertificate | FL * Get-ReceiveConnector | FL name, fqdn, objectClass Get-SendConnector | FL name, fqdn, objectClass
Примечание. Чтобы вывести список служб, включенных для установленного
сертификата, необходимо ввести звездочку (*
) в
качестве значения аргументаFL
командлета
Get-ExchangeCertificate. Если в параметрах задачи не указать
звездочку (*
), список служб выведен не будет.Выполните команды и сравните полное доменное имя,
возвращенное предупреждением, с полным доменным именем,
определенным на каждом соединителе, а также со значениями
CertificateDomains , определенными для каждого сертификата.
Значение параметра CertificateDomains представляет собой
объединение полей сертификата «Субъект» и «Дополнительное имя
субъекта».Необходимо убедиться в том, что для каждого
соединителя, использующего протокол TLS, имеется соответствующий
сертификат, содержащий полное доменное имя соединителя в значениях
параметра CertificateDomains сертификата. Запомните все
соединители, включенные для использования протокола TLS, но не
имеющие соответствующего сертификата, значения параметра
CertificateDomains которого содержат полное доменное имя
соединителя.Проверьте значение параметра Services для
каждого сертификата. При использовании сертификата для протокола
TLS его необходимо включить для службы SMTP, использующей значение
параметра ServicesSMTP
. -
Если значение параметра CertificateDomains не
содержит полное доменное имя, необходимо создать новый сертификат и
указать полное доменное имя соединителя, возвращаемое
предупреждением. Создать сертификат можно с помощью командлета
New-ExchangeCertificate. Также можно воспользоваться
сертификатом стороннего поставщика или настраиваемым сертификатом.
Для создания запроса сертификата используется командлет
New-ExchangeCertificate. Дополнительные сведения см. в
разделе Создание
сертификата или запроса сертификата для TLS. -
Если на сервере был установлен сертификат стороннего
производителя или настраиваемый сертификат, и этот сертификат
содержит соответствующее полное доменное имя, но при этом он не
включен для службы SMTP, необходимо включить сертификат для службы
SMTP. Дополнительные сведения см. в разделе Enable-ExchangeCertificate.