Error 293001 quartus prime full compilation was unsuccessful 3 errors 1 warning

I seem to get error messages when compiling TEXT_Demo-master ;-( Analysis & Synthesis is green ;-) Fitter (Place and Route) is green ;-) Design Assistant (Post-Fitting) is green ;-) But Compile...

Hi Giako, a couple of issues I am sorry to say.

I notice that your 18.0 files have Altera_mf set as sim library files. Is that critical? Sadly I cannot find the box/menu to select that, or rather I may have seen it over the last few days but cannot remember where…

Secondly my header file does not match yours so I have copied the first 1 or 2 lines of each in case that gives a clue. Line lengths are way different. My FPGA_Image_RLE.h
0xB3, 0xFF, 0x01, 0x6A, 0x83, 0xF7, 0x17, 0xF3, 0xFB, 0xFB, 0xF9, 0xFB, 0xFA, 0xF0, 0xF8, 0xF8,
0xF9, 0xF8, 0xF9, 0xF8, 0xF8, 0xFA, 0xF8, 0xF8, 0xFB, 0xFB, 0xFA, 0xFB, 0xF9, 0xF9, 0x83, 0xF8,
Your file, just line 1:
0xB3, 0xFF, 0x01, 0x6A, 0x83, 0xF7, 0x07, 0xF3, 0xFB, 0xFA, 0xF8, 0xFB, 0xFB, 0xF0, 0x80, 0xF8,
0x0D, 0xF9, 0xF8, 0xF9, 0xF9, 0xFB, 0xF8, 0xF8, 0xFB, 0xFB, 0xFA, 0xFB, 0xF9, 0xF9, 0x83, 0xF8,
0x82, 0xFA, 0x02, 0x40, 0x13, 0xFF, 0xFF, 0xCF, 0xFF, 0xFF, 0x00, 0xFF, 0x00, 0xCD, 0x00, 0x8D,
0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91,
0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81,
0x02, 0x9D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x95, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81,
0x02, 0x95, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x8D, 0x00, 0x89, 0x02, 0x81, 0x00, 0x81,
0x02, 0x91, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81,
0x20, 0xA1, 0x00, 0x85, 0x02, 0x81, 0x20, 0x89, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x20, 0x85,
0x22, 0x8D, 0x00, 0x81, 0x60, 0x81, 0x40, 0x81, 0x20, 0x81, 0x00, 0x81, 0x22, 0x81, 0x40, 0x81,
0x62, 0x81, 0x42, 0x81, 0x60, 0x89, 0x40, 0x81, 0x50,

BTW I am running Win10 not Linux. Hence I was suspicious of the 0x0D at first!

Cheers,
Al

  • Страница:
  • 1
  • 2

Делал как написано в инструкции начал компилировать и он выдал ошибку.
Схему указал Cyclone III EP3C10E144C8

Warning (20028): Parallel compilation is not licensed and has been disabled
Error (12007): Top-level design entity «Test1_wer» is undefined
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 287 megabytes
Error: Processing ended: Thu Nov 14 14:50:57 2013
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.


Последнее редактирование: от leonem.

Надо назначить фаил Test1_wer.v как Top-level или назвыть фаил так же как и модуль в нём.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Не очень понял назначить фаил Test1_wer.v как Top-level как и где. Файл это Test1_wer.v а что замодуль в нём. Глупые вопросы но что поделаешь.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Э.. Напишите что именно делаете.. Создайте новый проэкт для Марсохода 2? Непонятно вообще откуда у Вас взялось Test1_wer. Что вы так назвали? По ошибкам ясно что у вас ненайден топ модуль. Тоесть нет главного модуля с которого вообще всё начинается и куда подключены входы и выходы.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Как было написано. В создании нового проекта так я и делал просто назвал проект Test1 а файл куда скопировал код Test1_wer ни чего более.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Укажите адрес того урока. А то я что-то не понимаю что вы создаёте и по какой инструкции.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Создание первого проекта компиляция и прошивка платы Марсоход дальше Пошаговая инструкция: создаем проект Quartus II
Дошёл до компиляции.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.


Последнее редактирование: от leonem.

Для какого именно марсохода? Какая именно статья?? Я чтото немогу найти это.. Для первого там рекомендовали стянуть «почти пустой проэкт» а для второго я мевидел инструкцию по созданию проэкта. Приведите на неё линк.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

С боку где написано: как скачать, купить и так далее там есть Altera Quartus II,изучение Altera Quartus II,Создание первого проекта компиляция и прошивка платы Марсоход а дальше идет инструкция но плис я указал не (CPLD) EPM240T100C5 а Cyclone III EP3C10E144C8
там есть код module test_wires(
input wire key0,
input wire key1,
input wire key2,
input wire key3,
output wire led0,
output wire led1
);

assign led0 = key0 & key1;
assign led1 = key2 | key3;

marsohod.org/11-blog/78-newproject

endmodule

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.


Последнее редактирование: от leonem.

Незнаю в чём проблема — только что повторил всё как там написано и у меня всё скомпилировалось без проблем (я делал для 4 циклона) . О настройке топ файла там есть в пункте 13 :) Выбрать фаил в проект менеджеру и выбрать в меню Project > Set As Top Level Entity.

Рекомендуется называть фаил так же как имя модуля в нём. Вот и все проблемы. Больше проблем будет приделать пины к выводам — но это вам надо будет сделать один раз и научиться. Ну или как сделал я — разобрался как это пишется в файле *.QSF и пишу сам руками. Потом это фаил называю так же как топ левел и всё.

Проверте если все файлы упали в правельную директорию с проэктом. Для создания папки проэкта надо добавить название папки к дороге к проэкту. Например я хочу создать проэкт TEST в папке C:/work/:

для этого при создании проэкта пишу в шаге 3:

путь к проэкту C:/work/TEST

и ниже название файла: test
оно само скопируется ниже.

Потом создам новый фаил Verilog HDL и скопирую в него содержимое из статьи и уложу его так как написано — имя будет такое же как имя модуля ( module test_wires )

Если хотите другое имя — назовите и модль так же. Вот и всё :)

И ещё — могут возникнуть проблемы естли в пути есть русские буквы!!! Поэтому путь к проэкту должен быть без русских букв.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.


Последнее редактирование: от wowa.

  • Страница:
  • 1
  • 2

Время создания страницы: 0.360 секунд

ТЕМА: Создать первый проект не получается.

Создать первый проект не получается. 9 года 1 мес. назад #2069

Делал как написано в инструкции начал компилировать и он выдал ошибку.
Схему указал Cyclone III EP3C10E144C8

Warning (20028): Parallel compilation is not licensed and has been disabled
Error (12007): Top-level design entity «Test1_wer» is undefined
Error: Quartus II 32-bit Analysis & Synthesis was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 287 megabytes
Error: Processing ended: Thu Nov 14 14:50:57 2013
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2070

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2071

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2072

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2073

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2074

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2076

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2077

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2078

С боку где написано: как скачать, купить и так далее там есть Altera Quartus II,изучение Altera Quartus II,Создание первого проекта компиляция и прошивка платы Марсоход а дальше идет инструкция но плис я указал не (CPLD) EPM240T100C5 а Cyclone III EP3C10E144C8
там есть код module test_wires(
input wire key0,
input wire key1,
input wire key2,
input wire key3,
output wire led0,
output wire led1
);

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Re: Создать первый проект не получается. 9 года 1 мес. назад #2079

Незнаю в чём проблема — только что повторил всё как там написано и у меня всё скомпилировалось без проблем (я делал для 4 циклона) . О настройке топ файла там есть в пункте 13 🙂 Выбрать фаил в проект менеджеру и выбрать в меню Project > Set As Top Level Entity.

Рекомендуется называть фаил так же как имя модуля в нём. Вот и все проблемы. Больше проблем будет приделать пины к выводам — но это вам надо будет сделать один раз и научиться. Ну или как сделал я — разобрался как это пишется в файле *.QSF и пишу сам руками. Потом это фаил называю так же как топ левел и всё.

Проверте если все файлы упали в правельную директорию с проэктом. Для создания папки проэкта надо добавить название папки к дороге к проэкту. Например я хочу создать проэкт TEST в папке C:/work/:

для этого при создании проэкта пишу в шаге 3:

путь к проэкту C:/work/TEST

и ниже название файла: test
оно само скопируется ниже.

Потом создам новый фаил Verilog HDL и скопирую в него содержимое из статьи и уложу его так как написано — имя будет такое же как имя модуля ( module test_wires )

Если хотите другое имя — назовите и модль так же. Вот и всё 🙂

И ещё — могут возникнуть проблемы естли в пути есть русские буквы. Поэтому путь к проэкту должен быть без русских букв.

Пожалуйста Войти или Регистрация, чтобы присоединиться к беседе.

Источник

Build failure under Quartus 18.1 #1

Comments

g3zbu commented Dec 31, 2018

I seem to get error messages when compiling TEXT_Demo-master ;-(

Analysis & Synthesis is green 😉
Fitter (Place and Route) is green 😉
Design Assistant (Post-Fitting) is green 😉

But Compile Design is red.
Quartus Prime EDA Netlist writer was unsuccessful. 1 error
293001 Quartus Prime Full Compilation was unsuccessful. 3 errors, 85 warnings.

I wonder if you can possibly assist?

The text was updated successfully, but these errors were encountered:

g3zbu commented Dec 31, 2018

The EDA report file states
Error (20268): Functional simulation is off but it is the only supported netlist type for this device.
Info (204019): Generated file TEXT_Demo_6_1200mv_85c_slow.vo in folder «D:/APRW/Vidor4000/TEXT_DEMO-master/TEXT_DEMO-master/TEXT_DEMO/FPGA/simulation/modelsim/» for EDA simulation tool
Error: Quartus Prime EDA Netlist Writer was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 4674 megabytes
Error: Processing ended: Sun Dec 30 15:50:33 2018
Error: Elapsed time: 00:00:05
Error: Total CPU time (on all processors): 00:00:05

Sadly I cannot discover how to switch on ‘Generate functional simulation netlist’. Could that be my problem?

Giako68 commented Dec 31, 2018

Go to: Assignments -> Settings . -> EDA Tool Settings -> Simulation -> More EDA Netlist Writer Settings
and change the Generate functional simulation netlist entry to ON.

I use the 18.0 version of Quartus Prime, maybe that’s what makes the difference.

g3zbu commented Dec 31, 2018

I now have an SOF file which is converted to an SVF file (1,432KB in size). But the Makeimage.py generates an output file FPGA_Image_RLE.h that is 0KB in size. Is the header part of SVF critical as it says SDR 5748760 TDI but the python code is looking for 👍 if (s[0:17] == «SDR 4087056 TDI («):
Thanks for your rapid replies.

Giako68 commented Dec 31, 2018

You probably modified the project target. Make sure it is the 10CL016YU256C8G chip and rebuild it.

Giako68 commented Dec 31, 2018

Ok.
Happy New Year! 🙂

g3zbu commented Jan 1, 2019

Hi Giako, a couple of issues I am sorry to say.

I notice that your 18.0 files have Altera_mf set as sim library files. Is that critical? Sadly I cannot find the box/menu to select that, or rather I may have seen it over the last few days but cannot remember where.

Secondly my header file does not match yours so I have copied the first 1 or 2 lines of each in case that gives a clue. Line lengths are way different. My FPGA_Image_RLE.h
0xB3, 0xFF, 0x01, 0x6A, 0x83, 0xF7, 0x17, 0xF3, 0xFB, 0xFB, 0xF9, 0xFB, 0xFA, 0xF0, 0xF8, 0xF8,
0xF9, 0xF8, 0xF9, 0xF8, 0xF8, 0xFA, 0xF8, 0xF8, 0xFB, 0xFB, 0xFA, 0xFB, 0xF9, 0xF9, 0x83, 0xF8,
Your file, just line 1:
0xB3, 0xFF, 0x01, 0x6A, 0x83, 0xF7, 0x07, 0xF3, 0xFB, 0xFA, 0xF8, 0xFB, 0xFB, 0xF0, 0x80, 0xF8,
0x0D, 0xF9, 0xF8, 0xF9, 0xF9, 0xFB, 0xF8, 0xF8, 0xFB, 0xFB, 0xFA, 0xFB, 0xF9, 0xF9, 0x83, 0xF8,
0x82, 0xFA, 0x02, 0x40, 0x13, 0xFF, 0xFF, 0xCF, 0xFF, 0xFF, 0x00, 0xFF, 0x00, 0xCD, 0x00, 0x8D,
0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x91,
0x02, 0x8D, 0x00, 0x91, 0x02, 0x8D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81,
0x02, 0x9D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x95, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81,
0x02, 0x95, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x02, 0x8D, 0x00, 0x89, 0x02, 0x81, 0x00, 0x81,
0x02, 0x91, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81, 0x02, 0x9D, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81,
0x20, 0xA1, 0x00, 0x85, 0x02, 0x81, 0x20, 0x89, 0x00, 0x81, 0x02, 0x81, 0x00, 0x81, 0x20, 0x85,
0x22, 0x8D, 0x00, 0x81, 0x60, 0x81, 0x40, 0x81, 0x20, 0x81, 0x00, 0x81, 0x22, 0x81, 0x40, 0x81,
0x62, 0x81, 0x42, 0x81, 0x60, 0x89, 0x40, 0x81, 0x50,

BTW I am running Win10 not Linux. Hence I was suspicious of the 0x0D at first!

Giako68 commented Jan 1, 2019

Most likely, the .svf file generated under Windows is slightly different from the one generated under Linux due to the different handling of text files.
To solve the problem you should modify the script in Python, but on this I can not help you since I do not use Windows for many years.

Giako68 commented Jan 1, 2019

I think it’s only used if you try to simulate the circuit using Modelsim.

g3zbu commented Jan 1, 2019

Giako68 commented Jan 1, 2019

I’m thinking that the configuration file might be different due to the different version of Quartus, but maybe it works correctly.
Exactly what error do you have when compiling in Arduino IDE?

Giako68 commented Jan 1, 2019

If in the next few days I will have time to do it, I will upgrade to version 18.1 to see if it also has the same problem on Linux.

Giako68 commented Jan 1, 2019

In the meantime I installed version 18.1 on Linux and the resulting .h file is identical between the two versions. It therefore seems that on Linux there are no problems with 18.1.

Footer

© 2023 GitHub, Inc.

You can’t perform that action at this time.

You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session.

Источник

Error 293001 quartus ii full compilation was unsuccessful 3 errors 1 warning

Info: Running Quartus II 64-Bit Assembler

Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version

Info: Processing started: Thu Jan 09 10:46:06 2014

Info: Command: quartus_asm —read_settings_files=off —write_settings_files=off kz -c kz

Warning (15104): Quartus II software detected a bonding design. Reconfiguration is not supported for Bonded designs and MIF is not created for this design.

Warning (15104): Quartus II software detected a bonding design. Reconfiguration is not supported for Bonded designs and MIF is not created for this design.

Warning (15104): Quartus II software detected a bonding design. Reconfiguration is not supported for Bonded designs and MIF is not created for this design.

Warning (15104): Quartus II software detected a bonding design. Reconfiguration is not supported for Bonded designs and MIF is not created for this design.

Info (115030): Assembler is generating device programming files

Error (210006): Can’t save or open file D:/work/altera_pcie_hip_ast_ed/kz_cv/db/ip/pcie_de_gen1_x4_ast64_hps/submodules/sequencer/alt_types.pre.h

Error: Quartus II 64-Bit Assembler was unsuccessful. 1 error, 4 warnings

Error: Peak virtual memory: 706 megabytes

Error: Processing ended: Thu Jan 09 10:46:25 2014

Error: Elapsed time: 00:00:19

Error: Total CPU time (on all processors): 00:00:18

Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 791 warnings

The alt_types.pre.h file is exist.

Anyone any suggestions on how to solve the problem ?

Источник

Adblock
detector

Info: *******************************************************************
Info: Running Quartus Prime Shell
    Info: Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition
    Info: Copyright (C) 2018  Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation’s design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Intel Program License
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors.  Please
    Info: refer to the applicable agreement for further details.
    Info: Processing started: Sat Jul 16 09:36:02 2022
Info: Command: quartus_sh -t createproject.tcl
Error (292028): Specified license is not valid for this machine.
Error (293001): Quartus Prime Full Compilation was unsuccessful. 1 error, 0 warnings
————————————————
ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.

    while executing
«execute_flow -compile»
    (file «createproject.tcl» line 34)
————————————————
Error (23031): Evaluation of Tcl script createproject.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 3 errors, 0 warnings
    Error: Peak virtual memory: 4644 megabytes
    Error: Processing ended: Sat Jul 16 09:36:06 2022
    Error: Elapsed time: 00:00:04
    Error: Total CPU time (on all processors): 00:00:01

Доброго времени суток! Пытаюсь написать суммирующий счетчик по модулю 10, но в процессе компиляции выскакивает ошибка:

Кликните здесь для просмотра всего текста

Error: Top-level design entity «wor3» is undefined
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings

Вот то, что предшествует ошибке :

Кликните здесь для просмотра всего текста

Info: Running Quartus II Analysis & Synthesis
Info: Version 8.1 Build 163 10/28/2008 SJ Full Version
Info: Processing started: Thu Nov 21 15:40:25 2013
Info: Command: quartus_map —read_settings_files=on —write_settings_files=off wor3 -c wor3
Info: Found 2 design units, including 1 entities, in source file wor3.vhd
Info: Found design unit 1: counter10-arh1
Info: Found entity 1: counter10

Способ устранения методом Project/Set As Top Level Entity -реакции не последовало никакой
Вот, собственно, листинг:

Код

library IEEE;
use ieee.numeric_std.all;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity counter10 is
port (c: in std_logic;
Q: out std_logic_vector(9 downto 0));
end counter10;
architecture arh1 of counter10 is
signal cnt: std_logic_vector (9 downto 0);
begin
process (c)
begin
if rising_edge(c) then
cnt <= cnt+'1';
end if;
end process;
Q <= cnt;
end arh1;

P.S на пометку код в С# прошу внимания не обращать, код в VHDL, не нашел для сеего контейнер. Программа, в которой работаю : Quartus II 8.1

__________________
Помощь в написании контрольных, курсовых и дипломных работ, диссертаций здесь

Форум РадиоКот • Просмотр темы — Ошибка при компиляции в квартусе, помогите пожалуйста.

Сообщения без ответов | Активные темы

Часовой пояс: UTC + 3 часа

Список форумов » Микроконтроллеры и ПЛИС » ПЛИС

ПРЯМО СЕЙЧАС:

Начать новую тему Ответить на тему  Страница 1 из 1  [ Сообщений: 5 ] 
 

Для печати

Предыдущая тема | Следующая тема 

Автор Сообщение

Не в сети

Заголовок сообщения: Ошибка при компиляции в квартусе, помогите пожалуйста.

СообщениеДобавлено: Вт мар 05, 2013 05:55:48 

Встал на лапы

Карма: 4

Рейтинг сообщений: 4

Зарегистрирован: Пн окт 03, 2011 19:59:52
Сообщений: 84

Рейтинг сообщения: 0

Приветствую, не могу понять в чем проблема — значит пытаюсь откомпилировать проект с редактированными элементами, выдает вот такую ошибку.

Warning: Entity «7474» obtained from «C:/altera/91/quartus/libraries/spec/7474.bdf» instead of from Quartus II megafunction library
Error: Can’t compile duplicate declarations of entity «7474» into library «work»
Error: Instance could be entity «7474» in file 7474.bdf
Error: Instance could be entity «7474» in file C:/altera/91/quartus/libraries/spec/7474.bdf
Info: Found 1 design units, including 1 entities, in source file c:/altera/91/quartus/libraries/spec/7474.bdf

При том в схеме 7474 в помине нет, переустановка квартуса проблему не решила, спасибо.

Вернуться наверх
 

ПрофильПрофиль

 

Реклама

ibiza11

Не в сети

Заголовок сообщения: Re: Ошибка при компиляции в квартусе, помогите пожалуйста.

СообщениеДобавлено: Вт мар 05, 2013 08:01:17 

Мудрый кот
Аватар пользователя

Карма: 21

Рейтинг сообщений: 143

Зарегистрирован: Сб фев 21, 2009 13:11:40
Сообщений: 1899
Откуда: Москва

Рейтинг сообщения: 0

попробуйте переместить в другую папку(не связанную с квартусом) этот файл. он ругается на дубликат.


_________________
Ставим плюсы: )

Вернуться наверх
 

ПрофильПрофиль

 

Реклама

ruslansh

Не в сети

Заголовок сообщения: Re: Ошибка при компиляции в квартусе, помогите пожалуйста.

СообщениеДобавлено: Вт мар 05, 2013 08:32:48 

Встал на лапы

Карма: 4

Рейтинг сообщений: 4

Зарегистрирован: Пн окт 03, 2011 19:59:52
Сообщений: 84

Рейтинг сообщения: 0

Спасибо большое! Помогло.

Вернуться наверх
 

ПрофильПрофиль

 

Реклама

PCBWay — всего $5 за 10 печатных плат, первый заказ для новых клиентов БЕСПЛАТЕН

Сборка печатных плат от $30 + БЕСПЛАТНАЯ доставка по всему миру + трафарет

Онлайн просмотровщик Gerber-файлов от PCBWay + Услуги 3D печати

ruslansh

Не в сети

Заголовок сообщения: Re: Ошибка при компиляции в квартусе, помогите пожалуйста.

СообщениеДобавлено: Вт мар 05, 2013 09:04:09 

Встал на лапы

Карма: 4

Рейтинг сообщений: 4

Зарегистрирован: Пн окт 03, 2011 19:59:52
Сообщений: 84

Рейтинг сообщения: 0

Еще вопросик знающему человеку, дело в том что для переноса схемы мне удобней чтоб все элементы в том числе и отредактированные лежали в отдельной папке. В общем то что мне нужно я скопировал, Но — при редактировании элементов постоянно выскакивает окно в котором нужно указать путь на схему элемента — можно ли от этого избавиться? Второе — если элемент открылся нормально, то я вижу что схема его взята не из моей новой папки а из старой. Вопрос — можно ли как то привязывать определенную схему лежащую где угодно (на компе конечно) к определенному элементу, чтоб ни каких запросов на пути программа не высвечивала? Спасибо еще раз!

Вернуться наверх
 

ПрофильПрофиль

 

Реклама

Выгодные LED-драйверы для решения любых задач

КОМПЭЛ представляет со склада и под заказ широкий выбор LED-драйверов производства MEAN WELL, MOSO, Snappy, Inventronics, EagleRise. Линейки LED-драйверов этих компаний, выполненные по технологии Tunable White и имеющие возможность непосредственного встраивания в систему умного дома (димминг по шине KNX), перекрывают практически полный спектр применений: от простых световых указателей и декоративной подсветки до диммируемых по различным протоколам светильников внутреннего и наружного освещения.

Подобрать LED-драйвер>>

Meteor

Не в сети

Заголовок сообщения: Re: Ошибка при компиляции в квартусе, помогите пожалуйста.

СообщениеДобавлено: Вт мар 05, 2013 18:14:10 

Друг Кота
Аватар пользователя

Карма: 9

Рейтинг сообщений: 96

Зарегистрирован: Пн июл 13, 2009 14:37:39
Сообщений: 3956
Откуда: Московская область, наукоград…..

Рейтинг сообщения: 0

ruslansh писал(а):

постоянно выскакивает окно в котором нужно указать путь на схему элемента — можно ли от этого избавиться?

Если правильно понял, вы хотите иметь путь к своей папке.
У себя настраиваю путь до головной — а далее сохраняю по проектам.(см вложение)

Вложения:


quartus_p.JPG [75.37 KiB]

Скачиваний: 872


_________________
Загружая на вход компьютера «мусор», на выходе получим «мусор^32».
PS. Не работаю с: Proteus, Multisim, EWB, Micro-Cap… не спрашивайте даже

Вернуться наверх
 

ПрофильПрофиль

 

Реклама

Реклама

LIMF – источники питания High-End от MORNSUN со стандартным функционалом на DIN-рейку

На склад Компэл поступили ИП MORNSUN (крепление на DIN-рейку) с выходной мощностью 240 и 480 Вт. Данные источники питания обладают 150% перегрузочной способностью, активной схемой коррекции коэффициента мощности (ККМ; PFC), наличием сухого контакта реле для контроля работоспособности (DC OK) и возможностью подстройки выходного напряжения. Источники питания выполнены в металлическом корпусе, ПП с компонентами покрыта лаком с двух сторон, что делает ее устойчивой к соляному туману и пыли. Изделия соответствуют требованиям ANSI/ISA 71.04-2013 G3 на устойчивость к коррозии, а также нормам ATEX для взрывоопасных зон.

Подробнее>>

Показать сообщения за:  Сортировать по:  Вернуться наверх
Начать новую тему Ответить на тему  Страница 1 из 1  [ Сообщений: 5 ] 

Часовой пояс: UTC + 3 часа

Список форумов » Микроконтроллеры и ПЛИС » ПЛИС

Кто сейчас на форуме

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 2

Вы не можете начинать темы
Вы не можете отвечать на сообщения
Вы не можете редактировать свои сообщения
Вы не можете удалять свои сообщения
Вы не можете добавлять вложения

Найти:

Перейти:  

Author Message

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

А кто ж знает с чего начинать? У меня рядом нет магазина с богатым выбором… :wink:
Да и времени всё пробовать особо много нет. Выбор делал тут при всех.
Вроде никто не сказал, что Циклон 4 — это не пойдет…
С запасом на перспективу так сказать… ну и MAX3000A я заказал — они вроде попривычнее..

Да вроде как везде убеждают, что Quartus II Web Edition — для старта вполне сойдет… :-?

30 Jun 2016 05:09

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Похоже, что это «фича» Веб Версии Квартус-П… на неё, похоже, не обращают внимания.

https://marsohod.org/forum/5-altera-quartus-ii/2069-sozdat-pervyj-proekt-ne-poluchaetsya

А у меня: Quartus II Full Compilation всё же successful ! :wink:

30 Jun 2016 05:22

Profile

Vasil Ivanov

Doomed

User avatar

Joined: 11 Dec 2003 14:34
Posts: 413

Reply with quote

Post Re: Starting with FPGA

Tools -> Options -> Internet Connectivity -> снять пару чекбоксов в группе «Startup».
Там же нажми кнопку «TalkBack Options…» и сними чекбокс «Enable sending TalkBack data to Altera». Хотя стоп, TalkBack в вэб-версии квартуса нельзя отключить. Переходи лучше на «Subscription Edition» (полную версию) и не парься :) Как поставить лицензию — кидай свое е-мыло, брошу ридмишку (и крякнутые dll-ки).

_________________
Vasil Ivanov

vasil-i@yandex.ru

30 Jun 2016 07:06

Profile

fifan

Devil

Joined: 06 Oct 2006 03:17
Posts: 784
Location: г.Лянтор,Сургутского р-на,ХМАО

Reply with quote

Post Re: Starting with FPGA

Вот очень полезный мануал по созданию Спектрума в плисине. Нашёл у себя.

30 Jun 2016 07:10

Profile

Vasil Ivanov

Doomed

User avatar

Joined: 11 Dec 2003 14:34
Posts: 413

Reply with quote

Post Re: Starting with FPGA

Это то же самое, «N» — безсвинцовая технология и все. Бери во внимание спидгрей, т.е. «C8», «C7», «C6» в конце обозначения плисины.
«C6» — самая быстрая, «C8» — самая медленная в серии.

Так и должно быть — это ограничения вэб-версии квартуса. Я тебе писал раньше, что веб-версия квартуса компилирует в один поток, о чем будет предупреждать тебя в варнингах (первый). А логиклок — фитча, позволяющая фиксировать место разводки частей схемы (лог. элементов) внутри плиса, чтобы при следующей компиляции квартус не изменил место расположения этих указанных частей. Используется гуру при доводке сложных проектов «до кондиции», которые не хотят сразу работать. Так что на этот логиклок нам с тобой можно «забить» :)

В редакторе квартуса используется кодировка UTF-8. Я не открываю файлы в его редакторе, а пользуюсь редактором Far-а (с подсветкой синтаксиса), в квартусе только компилю и прошиваю плисы.

_________________
Vasil Ivanov

vasil-i@yandex.ru

30 Jun 2016 07:27

Profile

newold86

Devil

Joined: 30 Nov 2013 11:08
Posts: 693
Location: WWW

Reply with quote

Post Re: Starting with FPGA

Для начала, у меня был лицензионный Quartus (НЕ крякнутый, а именно лицензионный — принципиально не использую левый софт). WEB-версия никаких проблем (кроме заведомо известных и явно указанных ограничений) по сравнению с лицензионной не показала, поэтому продлять лицензию не стал, перешел обратно на WEB.

Далее — насколько помню, начиная с какой-то версии, параллельная компиляция доступна и в WEB-версии, нужно только разрешить TalkBack (разрешает Quartus’у посылать в Altera отчеты о работе софта — хотя кто его знает, что там на самом деле посылается). Кроме того, разрешенный TalkBack также необходим для работы SignalTap (возможно, еще для чего).

30 Jun 2016 07:44

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Потренируюсь пока на вэб-версии квартуса, а как ПЛИС получу — может и следует переходить
на «Subscription Edition», посмотрим… качать их гигабайты тоже время отнимает…

Да я ему и разрешил TalkBack (чего не надо, наверное, было делать), только вот ноутбук новый
мой с Вендой-7 в сеть не подключен, а так-то мне не жалко — пусть бы посылал…

Сейчас вот озадачился я вопросом — если МАХ3000А от ЧиД придут быстрее, придется делать
под них LPT-ByteBlaster, но LPT-порт у меня на старом ноутбуке под Вендой-98.

Какой софт из программаторов работает под Вендой-98?

30 Jun 2016 08:31

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Вероятно, Квартус хочет в Интернет залезть при нажатии «TalkBack Options…»,
так что оно всё на этом нажатии сурово зависло, поскольку ноутбук не подключен в сеть… :wink:

Со второй попытки проник в эту опцию.
Интересно, что эта фича «TalkBack» там по умолчанию отключена.
Видимо, поскольку при инсталляции не было соединения с интернетом, то фича «TalkBack»
и не была активирована.

Отключил потуги Квартуса на апдейты: выглядит теперь смешно — окно об поиске апдейтов Квартус
показывает, но на то, что нет коннекта — больше не ругается… 8)

30 Jun 2016 08:47

Profile

fifan

Devil

Joined: 06 Oct 2006 03:17
Posts: 784
Location: г.Лянтор,Сургутского р-на,ХМАО

Reply with quote

Post Re: Starting with FPGA

30 Jun 2016 09:08

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Вот прямо конкретно именно этот Programmer устанавливается и работает под Вендой-98?

А то я похожий файл с QuartusProgrammerSetup скачивал…

30 Jun 2016 09:22

Profile

fifan

Devil

Joined: 06 Oct 2006 03:17
Posts: 784
Location: г.Лянтор,Сургутского р-на,ХМАО

Reply with quote

Post Re: Starting with FPGA

Вот держи ещё более ранний, но, наверное не для Квартуса — http://www.spetsialist-mx.ru/for_out/asap2_1023.rar.

30 Jun 2016 10:24

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Насколько я понимаю, вся задача этого АП6 (74НС244) — согласовать уровни LPT-
порта и ПЛИС с питанием в 3.3В.

И при этом обеспечить передачу сигналов в нужных направлениях, согласно протоколу:

А сама времЯнка протокола формируется чисто программным путем…

А в USB-Blaster-е всю времЯнку формирует и вовсе контроллер в коробочке,
который от РС по USB просто получает байты прошивки и, возможно,
отправляет какие-то байты обратно в РС…

30 Jun 2016 17:50

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

И еще один вопрос мне несколько непонятный…
Как ПЛИС различает, работает она как обычно при подаче питания или её программируют?
Только по состоянию сигналов интерфейса JTAG ?

Раскопал вот тут схему на ПЛИС, более-менее приличную:

Вижу, что JTAG в её составе приделан намертво, а отдельных переключений «программирование-
работа
» вроде как не наблюдаю никаких…

01 Jul 2016 02:55

Profile

viv-pm

Novelist

Joined: 17 Mar 2015 21:54
Posts: 31

Reply with quote

Post Re: Starting with FPGA

JTAG изначально тестовый интерфейс.
Он появился в микросхемах, где речи
не было о внутрисистемном программировании.
Вся его задача была — смотреть значения сигналов
и задавать тестовые воздействия.
Но раз появился такой удобный стандартизированный
интерфейс, на него стали вешать и другие
функции.
А чтобы перейти в режим программирования,
по JTAG подаётся не одна команда …

01 Jul 2016 04:29

Profile

Lavr

Supreme God

User avatar

Joined: 21 Oct 2009 08:08
Posts: 7777
Location: Россия

Reply with quote

Post Re: Starting with FPGA

Это я всё и сам прочитал… но вот касательно конкретной ПЛИС — как она распознает,
что её сейчас будут программировать?
Иначе, при подаче питания, она ведь должна начать работать, если в ней прошито устройство?
Вот этот момент мне пока и не понятен… ну а JTAG — да он и есть JTAG… :obye:

01 Jul 2016 06:13

Profile

Понравилась статья? Поделить с друзьями:
  • Error 2908 could not register component corel
  • Error 2908 coreldraw как исправить
  • Error 285 роблокс
  • Error 28201 failed to install vpn device driver kerio control
  • Error 2803 dialog view did not find a record for the dialog setuperror