Error failure to obtain a verilog simulation license

Due to missing encrypted Verilog library files you may see this error message when simulating a VHDL version of the 10Gb Ethernet MAC MegaCore® function generated in Quartus® II software version 13.0 

The browser version you are using is not recommended for this site.
Please consider upgrading to the latest version of your browser by clicking one of the following links.

  • Safari
  • Chrome
  • Edge
  • Firefox

Article ID: 000084741

Content Type: Troubleshooting

Last Reviewed: 08/07/2013

# ** Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: msimhdlmix; qhsimvl; or msimhdlsim # ** Error: (vsim-3039) ./..//AlteraTenGigMac.vhd(4743): Instantiation of ‘altera_avalon_st_pipeline_stage’ f

Environment

Quartus Edition

  • Quartus® II Subscription Edition
  • Version Found: 13.0

    BUILT IN — ARTICLE INTRO SECOND COMPONENT

    Description

    Due to missing encrypted Verilog library files you may see the below error message when simulating a VHDL version of the 10Gb Ethernet MAC MegaCore® function generated in Quartus® II software version 13.0 using ModelSim®. This happens only with VHDL and not Verilog when you have a single language license of ModelSim.

    # ** Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: msimhdlmix; qhsimvl; or msimhdlsim# ** Error: (vsim-3039) ./..//AlteraTenGigMac.vhd(4743): Instantiation of ‘altera_avalon_st_pipeline_stage’ failed.

    Resolution

    A patch is available to fix this problem in the Quartus II software version 13.0. Download and install patch 0.22 from the appropriate link below:

    • Download the version 13.0 patch 0.22 for Windows (.exe)
    • Download the version 13.0 patch 0.22 for Linux (.run)
    • Download the Readme for the Quartus II software version 13.0 patch 0.22 (.txt)

    This problem will be fixed in a future version of the Quartus II software.

    • Description
    • Resolution

    Need more help?

    Alt text to be used for img

    Give Feedback

    Disclaimer

    Добрый день!

    Помогите пожалуйста — уже несколько раз происходит такая ошибка при очередном запуске моделирования ModelSim 5.8d выдает сообщение Error: Failure to obtain a Verilog simulation license.

    На одном из форумов я нашел сообщение, что если стереть файл vsim.wlf то работа востановится. Проблема о одновременной доступности файла…что ли.. так оно и было — стирал и запускал- все работает. Но вот очеродной запуск — и уже этот способ не работает. Я уже задолбался — и стирал и перезаписывал — помогите — проект горит!

    # Reading F:/Libero/Model/tcl/vsim/pref.tcl

    # do run.do

    # ** Warning: (vlib-34) Library already exists at «../simulation/presynth».

    # Modifying modelsim.ini

    # Model Technology ModelSim ACTEL vlog 5.8d Compiler 2004.06 Jun 12 2004

    # — Compiling module Comand

    #

    # Top level modules:

    # Comand

    # Model Technology ModelSim ACTEL vlog 5.8d Compiler 2004.06 Jun 12 2004

    # — Compiling module DPLL

    #

    # Top level modules:

    # DPLL

    # Model Technology ModelSim ACTEL vlog 5.8d Compiler 2004.06 Jun 12 2004

    # — Compiling module stimulus

    # — Compiling module tb_clock_minmax

    # — Compiling module testbench

    #

    # Top level modules:

    # testbench

    # vsim -L apa -t 1ps presynth.testbench

    # ** Error: Failure to obtain a Verilog simulation license.

    # Error loading design

    # Error: Error loading design

    # Pausing macro execution

    # MACRO ./run.do PAUSED at line 6


    Изменено 16 декабря, 2008 пользователем NNikolaev

    Skip to main content

    Forum for Electronics

    Forum for Electronics

    Welcome to EDAboard.com

    Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals… and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

    • Digital Design and Embedded Programming

    • PLD, SPLD, GAL, CPLD, FPGA Design

    You are using an out of date browser. It may not display this or other websites correctly.
    You should upgrade or use an alternative browser.

    Modelsim: a problem with vhdl license


    • Thread starter

      mimiza


    • Start date

      Oct 16, 2012

    Status
    Not open for further replies.

    • #1

    Junior Member level 2

    Joined
    Mar 25, 2012
    Messages
    21
    Helped
    0
    Reputation

    0

    Reaction score
    0
    Trophy points
    1,281
    Activity points

    1,426


    Hello, I’m using modelsim to simulate a simple counter project, but it return the following error:
    # ** Error: Failure to obtain a VHDL simulation license.
    # Error loading design

    I verified the license and it work perfectly. Can any one help me please.
    Thank you.

    • #2

    Full Member level 3

    Joined
    Mar 9, 2011
    Messages
    162
    Helped
    91
    Reputation

    182

    Reaction score
    89
    Trophy points
    1,308
    Location

    Northamptonshire, UK

    Activity points

    2,135


    Have you set the environment variables MGLS_LICENSE_FILE and LM_LICENSE_FILE? both variables must contain the path and name of the file: eg MGLS_LICENSE_FILE=c:licensesmodel.txt or something similar.

    • #3

    Junior Member level 2

    Joined
    Mar 25, 2012
    Messages
    21
    Helped
    0
    Reputation

    0

    Reaction score
    0
    Trophy points
    1,281
    Activity points

    1,426


    Hi chipseller, thank you for your response, yes I set the environment variables MGLS_LICENSE_FILE and LM_LICENSE_FILE, but the error persists. Modelsim work and he gives no error when I launch it, he compile the project but when I simulate he returns the error.

    • #4

    Newbie level 2

    Joined
    Jan 19, 2010
    Messages
    2
    Helped
    0
    Reputation

    0

    Reaction score
    0
    Trophy points
    1,281
    Activity points

    1,294


    I have this same problem. I am using the Altera Modelsim version and the quartus license gets served just fine, but the alteramtivsim license is unabled to be checked out. lmstat shows that it is fine and the server log reports no errors. Just when your run vsim it will report it can’t get the VHDL simulation license.

    • #5

    K-J

    Advanced Member level 2

    Advanced Member level 2

    Joined
    Jan 26, 2012
    Messages
    657
    Helped
    308
    Reputation

    620

    Reaction score
    301
    Trophy points
    1,343
    Activity points

    7,053


    Hello, I’m using modelsim to simulate a simple counter project, but it return the following error:
    # ** Error: Failure to obtain a VHDL simulation license.
    # Error loading design

    I verified the license and it work perfectly. Can any one help me please.
    Thank you.

    How did you verify that license works perfectly? Presumably this means running Mentor’s licensing wizard which detects and reports those types of things.

    You might also check using Windows Task Manager to see if there is still a ‘vsimk’ process running. This process starts up when you start the simulator. If your previous run ended abnormally, sometimes vsimk doesn’t get killed. Then when you restart Modelsim and try to start a sim it gives the error you report since the license software sees ‘vsimk’ running and that you want to start a second instance but you’re not licensed to do so.

    Kevin Jennings

    • #6

    Newbie level 2

    Joined
    Jan 19, 2010
    Messages
    2
    Helped
    0
    Reputation

    0

    Reaction score
    0
    Trophy points
    1,281
    Activity points

    1,294


    I solved my version of the problem. The issue is that the mgcld available through the license download section of altera’s website is version 10.8, but the modelsim S/W seems to require v11.6. I discovered this issue and the location from this forum: https://www.alteraforum.com/forum/showthread.php?t=34677

    Pertinent post there is:


    I think you can find the mgcld 11.6 in quartus II installation directory also:

    Windows: <Quartus II installation path>modelsim_aewin32aloemmgcld.exe
    Linux: <Quartus II installation path>/modelsim_ae/linuxaloem/mgcld

    Status
    Not open for further replies.
    • Digital Design and Embedded Programming

    • PLD, SPLD, GAL, CPLD, FPGA Design

    • This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
      By continuing to use this site, you are consenting to our use of cookies.

    Hi guys,
    
    When i try to simulate a simple EXOR i get the following error:
    
    # ** Warning: A ModelSim starter license was detected and will be used,
    even though you have installed ModelSim XE. You should obtain an XE license
    in order to access ModelSim XE's full capabilities.Licensing checkout error
    with feature xe-starter. (Error code -9.)
    # The hostid of the license does not match the hostid for this machine.
    # One of the following is likely:
    #    -The license is intended for another machine.
    #    -A dongle is not plugged into this machine.
    #    -The dongle driver is not installed or is not functioning properly.
    #    -The hostid mechanism has been changed or removed from this machine.
    # ** Error: Failure to obtain a VHDL simulation license.
    # Error loading design
    # Error: Error loading design
    #        Pausing macro execution
    # MACRO ./my_exor_tb.fdo PAUSED at line 9
    
    Can anyone help me???
    
    Thanks all!
    
    
    
    	   
    					
    ---------------------------------------		
    Posted through http://www.FPGARelated.com
    

    On 15/06/2010 12:47, flipoo wrote:
    
    > Hi guys, > > When i try to simulate a simple EXOR i get the following error: > > # ** Warning: A ModelSim starter license was detected and will be used, > even though you have installed ModelSim XE. You should obtain an XE license > in order to access ModelSim XE's full capabilities.Licensing checkout error > with feature xe-starter. (Error code -9.) > # The hostid of the license does not match the hostid for this machine. > # One of the following is likely: > # -The license is intended for another machine. > # -A dongle is not plugged into this machine. > # -The dongle driver is not installed or is not functioning properly. > # -The hostid mechanism has been changed or removed from this machine. > # ** Error: Failure to obtain a VHDL simulation license. > # Error loading design > # Error: Error loading design > # Pausing macro execution > # MACRO ./my_exor_tb.fdo PAUSED at line 9 > > Can anyone help me??? > > Thanks all! > > > > > > --------------------------------------- > Posted through http://www.FPGARelated.com
    That seems pretty obvious, you haven't got a valid license. All the information you need is in the message you quoted. Alan -- Alan Fitch Senior Consultant Doulos &#2013266070; Developing Design Know-how VHDL * Verilog * SystemVerilog * SystemC * PSL * Perl * Tcl/Tk * Project Services Doulos Ltd. Church Hatch, 22 Marketing Place, Ringwood, Hampshire, BH24 1AW, UK Tel: + 44 (0)1425 471223 Email: alan.fitch@doulos.com Fax: +44 (0)1425 471573 http://www.doulos.com ------------------------------------------------------------------------ This message may contain personal views which are not the views of Doulos, unless specifically stated.

    Понравилась статья? Поделить с друзьями:
  • Error failure processing png image
  • Error failure enumerating files in directory
  • Error failedepicauthtoken among us
  • Error failed while fetching server version could be due to unauthorized access
  • Error failed to update magicad cuix mnr file