Error invalid license environment application closing

I'm trying to run a functional simulation on Quartus Prime Lite, but I get this error:Unable to checkout a license. Vsim is closing. ** Fatal: Invalid license environment. Application closing. Unable to checkout a license. Make sure your license file environment variables are set correctly and then ...

I’m trying to run a functional simulation on Quartus Prime Lite, but I get this error:

Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.
Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.
Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference)
1. MGLS_LICENSE_FILE
2. LM_LICENSE_FILE.

I just want to use Quartus to run some simulations.


  • All forum topics


  • Previous topic

  • Next topic

5 Replies

Hi,

Do you have license for Modelsim — Intel FPGA Edition ?

If no, use ModelSim-Altera Starter Edition.

If yes check your license validity & environment variable.

Let me know if you need any further assistance.

Regards

Anand

I don’t think so. I installed the Quartus Prime Lite v18. I assumed I wouldn’t need any licenses because it’s a free version. I just ran the instalation by clicking the QuartusLiteSetup-18.1.0.625-windows.exe.

How do I use ModelSim-Altera Starter Edition? This option doesn’t appear in Assignments > Settings > EDA tool settings > simulation.

When you install Quartus, you have the option of installing the Starter edition or the regular edition. If you accidentally installed the regular edition, you would get this license issue. If you have the modelsim_ase directory as mentioned, then you installed the correct version and should check the environment variables.

Hello, I had exactly the same error when trying to simulate the asynchronous counter in this video: https://www.youtube.com/watch?v=kRXWk2llxVk (minute 11:57)
Seeing several comments about it, it occurred to me to go to this path C:intelFPGA_lite17.0
There, I found 2 files: modelsim_ase and modelsim_ae
What I did was delete the "modelsim_ae", then I closed the vwf simulation, I recompiled my schematic, I opened the VWF University Program, I put the entries with their values ​​and I managed to simulate it well (the time diagram appeared).
Let's see if that works for you...


  • All forum topics


  • Previous topic

  • Next topic

I am a complete beginner and am trying to do simulations in Intel Quartus Prime Lite Edition. But when I try to simulate a .vwf file it shows:

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)

is set correctly and then run ‘lmutil lmdiag’ to diagnose the problem.

Unable to checkout a license. Vsim is closing.

** Error: Invalid license environment. Application closing.

I got the free edition I am 100% sure about it. I checked the intel site it showed:

** Starter edition requires free license that can be obtained here

So, I have to use QuestaSim (free edition) instead of ModelSim.

But I still don’t know what should be done exactly to achieve that.

.

Please help me figure this out. Or should I look for alternatives? Anything you would recommend a beginner?

.

.

I am a CS guy and I had to study Digital Design in my Sophomore year. At first it felt like a drag (I had to study) but I got interested as I studied more. So I decided to get the software needed to run and simulate verilog. I don’t know any complicated stuff like testbenches or anything, I just want to write some verilog and do simple waveform simulations.

UPDATE: The new Intel® Quartus® Prime Lite 18.1 installs without any problems under Ubuntu 18.04 (LTS). Hopefully this article is now superfluous (unless you need to install older version of Quartus onto older versions of Ubuntu).

Installing the Intel® Quartus® Prime Lite edition software (the free Intel/Altera FPGA design software suite) for Ubuntu 17.10 is not trivial.

There are two main problems:

  1. The installer does not terminate properly, which seems to leave it in an unlicensed state.
  2. The software needs libpng12, which is not distributed with Ubuntu 17.10.

Installing libpng12

The simplest way is to build and install libpng12 from source (requires build-essential).

  1. Install build-essential (to get gcc etc): sudo apt install build-essential
  2. Download the source code from sourceforge (select a suitable version and tar archive).
  3. Unpack the tar archive to /tmp
  4. Build and install:
cd /tmp/libpng-1.2.59
./configure --prefix=/usr/local
make
sudo make install
sudo ldconfig

The solution is to run the installer in unattended headless mode (I found a tip by Jonathan here), and install the different components in separate steps.

Start by unpacking the Quartus installer, e.g. to /tmp/quartus, and then run the following command (replace $DOWNLOADDIR, and $INSTALLDIR and $VER with sutitable values, e.g. /tmp/quartus, ~/intelFPGA_lite/17.1 and 17.1.0.590):

$DOWNLOADDIR/components/QuartusLiteSetup-$VER-linux.run 
  --mode unattended 
  --unattendedmodeui none 
  --installdir $INSTALLDIR 
  --disable-components quartus_help,modelsim_ase,modelsim_ae 
  --accept_eula 1

After running for a while, this may hang. Check with top to see if the QuartusLiteSetup program is still running or not. If not, hit CTRL+C to stop it.

Then repeat the process for the following two commands:

$DOWNLOADDIR/components/ModelSimSetup-$VER-linux.run 
  --mode unattended 
  --unattendedmodeui none 
  --installdir $INSTALLDIR 
  --modelsim_edition modelsim_ase 
  --launch_from_quartus 1 
  --accept_eula 1

$DOWNLOADDIR/components/QuartusHelpSetup-$VER-linux.run 
  --mode unattended 
  --unattendedmodeui none 
  --installdir $INSTALLDIR 
  --accept_eula 1

Done!

You should be able to start the quartus/bin/quartus program in the installation folder (I added a script, quartus.sh, in my ~/bin/ folder that launches the quartus binary).

Clunixchit


  • #1

hello there,
i’m installing during my internship modelsim 6.3 on some machines of
my company.

however on a perfect legal license, modelsim refuse to detect the
license.

this is how i did on fedora 7, after the installation,

[[email protected] linuxle]# export LM_LICENSE_FILE=»/opt/modelsim/
modeltech/
license.dat»
[[email protected] linuxle]# ../bin/vsim

Unable to checkout a license. Make sure your license file environment
variable (e.g., LM_LICENSE_FILE)
is set correctly and then run ‘lmutil lmdiag’ to diagnose the problem.
Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.

[[email protected] linuxle]# lmutil lmdiag
lmutil — Copyright (c) 1989-2005 Macrovision Europe Ltd. and/or
Macrovision Corporation. All Rights Reserved.
FLEXlm diagnostics on Wed 6/13/2007 22:19

——————————————————
License file: /opt/modelsim/modeltech/license.dat
——————————————————
«hdldesignerpro» v2010.05, vendor: modeltech
uncounted nodelocked license, locked to ethernet address
«000ae44aa899» starts: 6-feb-2005, expires: 01-jan-2010

This is the correct node for this node-locked license
——————————————————

Enter <CR> to continue:
[….]

then i press enter to continue with the other modules, without seeing
errors on the terminal.

can anyone tell me what/where i’m doing wrong ?

Chitlesh

Advertisements

HT-Lab


  • #2

Clunixchit said:

hello there,
i’m installing during my internship modelsim 6.3 on some machines of
my company.

however on a perfect legal license, modelsim refuse to detect the
license.

this is how i did on fedora 7, after the installation,

[[email protected] linuxle]# export LM_LICENSE_FILE=»/opt/modelsim/
modeltech/
license.dat»
[[email protected] linuxle]# ../bin/vsim

Unable to checkout a license. Make sure your license file environment
variable (e.g., LM_LICENSE_FILE)
is set correctly and then run ‘lmutil lmdiag’ to diagnose the problem.
Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.

[[email protected] linuxle]# lmutil lmdiag
lmutil — Copyright (c) 1989-2005 Macrovision Europe Ltd. and/or
Macrovision Corporation. All Rights Reserved.
FLEXlm diagnostics on Wed 6/13/2007 22:19

This is an «interesting» license, a) it is for HDL Designer and not for
Modelsim, b) the modeltech daemon is wrong and too old for Modelsim 6.3, c)
the expire date is too far in the future (unless this is an archive
license…) d) as far as I know Mentor doesn’t provide any MAC based
node-locked license under Linux, only floating is supported (at least that
is what I was told when I asked them),

Are you sure this is a «perfectly legal license»…….

Hans
www.ht-lab.com

This is the correct node for this node-locked license
——————————————————

Enter <CR> to continue:
[….]

then i press enter to continue with the other modules, without seeing
errors on the terminal.

can anyone tell me what/where i’m doing wrong ?

Chitlesh

Kim Enkovaara


  • #3

Clunixchit said:

i’m installing during my internship modelsim 6.3 on some machines of
my company.

however on a perfect legal license, modelsim refuse to detect the
license.
>…
——————————————————
License file: /opt/modelsim/modeltech/license.dat
——————————————————
«hdldesignerpro» v2010.05, vendor: modeltech
uncounted nodelocked license, locked to ethernet address
«000ae44aa899» starts: 6-feb-2005, expires: 01-jan-2010

This is the correct node for this node-locked license
——————————————————

At least that is incorrect license for modelsim. That row is
for hdl designer, not for modelsim. If you have the
correct modelsim license then also check that your DISPLAY
variable is not over 32 characters long, if it is the
license manager will not work. You can fix the DISPLAY by
using the numeric IP-address.

—Kim

Clunixchit


  • #4

Are you sure this is a «perfectly legal license»…….

At least it was what the technician told me.

HT-Lab


=?iso-8859-1?B?RWRtb25kIENvdOk=?=


  • #6

Somehow, I’m not sure running the student edition of ModelSim on
«company» computers qualifies as a legal license. And, of course, if
it was a perfectly legit license, why not log onto SupportNet and ask
a FAE ;)

— Edmond Coté

Advertisements

Mike Treseler


  • #7

HT-Lab wrote:

….

as far as I know Mentor doesn’t provide any MAC based
node-locked license under Linux, only floating is supported

Yes.
All of the «low cost» licenses are windows only.

— Mike Treseler

Advertisements

Thomas Stanka


  • #8

however on a perfect legal license, modelsim refuse to detect the
license.

Which version of LM are you running? If I rember right you need a
rather new version for the newest Modelsim.

bye Thomas

JesusSalido

This is a little annoying bug that I discovered after trying to recover the legacy colors of Logisim K-maps in Logisim-EVO changing color preferences. After color check in simulation preference window, I realized that K-maps start with Map color 2 rather than number 1 (this one is really omitted).

2022-10-07_123847
2022-10-07_123836

cheyao

When i reset the ram, it outputs X before the first clock, how can i disable this? its very annoying

Screenshot 2022-10-31 at 2 53 57 PM

smallg0at

The proper name for Chinese is «中文», but in preferences it is always shown as «cn».

The root cause may be the wrong use of this language code.

Chinese (Simplified) should be «chs» (language code) instead of «cn» (country code).

Not sure about this, should check the docs I guess.

pbuccella

The «Restart VHDL simulator» command does not seem to be effective in the case errors are encountered in the vhdl code after a «VHDL Simulator Enabled». In this case to restart the simulation, Logisim must be closed and reopened. is this a bug?

esseivan

I had an error for the last week and had no idea where it came from. Reinstalling completely logisim and modelsim did not helped.

I looked into it and found that in the temp path used (AppdataLocalTemp), there was an empty «work» folder. So modelsim thinks the library exists, reads what’s into it but found nothing, so returns an error.

I think the program could look into the folder and check if the required library files are present, or delete the whole folder.

This issue appears only when «Use Questa Advanced Simulator to validate HDL entities» is enabled.

image

dxhisboy

I’m not sure about if it was a system configuration problem on my computer. Most of my computers runs Archlinux with Java 18. It seems the pin text of RAM is too large and overlaps each other:
image

While I didn’t found a way to configure font of the canvas in menus. I found that a modification in InstancePainter work:

  public InstancePainter(ComponentDrawContext context, InstanceComponent instance) {
    this.context = context;
    this.comp = instance;
    this.context.getGraphics().setFont(new Font("monospaced", Font.PLAIN, 12)); // added this line
  }

Is there a way to configure the font settings in a graphical way?

marsfan

This is similar to #1442, but is on Windows so I figured it might be best to open a separate issue.

I installed Quartus and obtained a free license for Questa. I set the LM_LICENSE_FILE environment variable in Windows to point to the license file, and confirmed that I can launch Questa (both by clicking on it in the start menu, and by running vsim in a command line).

Environment Variable Screenshot

image

I also confirmed that I can access the environment variable from the terminal in both PowerShell and Command Prompt:

PowerShell:

PS C:UsersGabe Roper> $env:LM_LICENSE_FILE
C:intelFPGA_litequesta_license.dat

Command Prompt:

C:UsersGabe Roper> echo %LM_LICENSE_FILE%
C:intelFPGA_litequesta_license.dat

However, when I set up a VHDL entity in Logisim and enable VHDL simulation, I get the following message both as a pop-up and in the VHDL simulation log:

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)
is set correctly and then run 'lmutil lmdiag' to diagnose the problem.
Unable to checkout a license.  Vsim is closing.
** Error: Invalid license environment. Application closing.

I have tried setting the environment variable both in both the «User Environment Variables» section and the «System Environment Variables» section, but I get the same result either way.

System Information

  • Windows 11 21H2
  • Logisim-Evolution 3.7.2 (installed using MSI installer)
  • Questa — Intel FPGA Starter Edition 21.1.1.850 (Free License version that can be obtained from Intel)

R3dst0ne

[…] since the increase to 64-bit wide busses, using drop-down lists to choose the bit width and selecting bits is tedious, […]

By @maehne in #617 (comment)

R3dst0ne

I found these outdated references to Logisim-evolution.

  • sourceforge download old version
  • Wikipedia FR old version Number, reference to old GitHub URL
  • Wikipedia DE old version Number, reference to old GitHub URL
  • Wikipedia EN reference to old GitHub URL

MarcinOrlowski

The task is to have own, fully controlled Flatpak/Snap packages. There’s currently 3rd party driven, Flatpak package: https://www.flathub.org/apps/details/com.github.reds.LogisimEvolution driven by @TheEvilSkeleton however at the end of the day we should have full control of our packages as this is the only way to keep it up to date with official releases (up to now we rely on 3rd party to have a time to package new version once it is released).

This ticket is kind of followup to #678

MarcinOrlowski

@BFH-ktt1 can you please update both organization and repo config to use consistent graphics:

  • repo settings -> Options -> Social preview (upload 1280×640 rendition of main logo)
  • organization profile icon (use one of hi-res PNGs images from set of in-app icons).

Attached 1280×640 px rendition for repo Social preview -> lse-1280×640.zip

prlaba

When a circuit is opened or the simulation is reset, all of the circuit’s input pins are reset to low (0). This can cause errors if the pin is intended as an active-low input, as it causes the pin to be initially active.

This is not usually a problem, as most components use active-high inputs, even though their real-life counterparts often use active-low inputs (e.g., the Controlled Buffer component uses an active-high Enable input; all bus buffer IC’s I’ve ever seen use an active-low Enable pin).

I often create custom sub-circuits to replace L-E’s native components, so I can get the polarity of the component’s inputs correct. But that causes problems because any active-low inputs are initialized to 0 (active).

It would be great if L-E had an Initial State attribute for its Input Pin component, that would allow the pin’s state to be set either high (1) or low (0) initially. It’s default would be low (0), making the feature backward compatible.

Thanks.

diegodutraufrj

I’ve set the LM_LICENSE_FILE to the correct file and also been able run vsim manually, however logisim-evultion fails with this message

Unable to checkout a license. Make sure your license file environment variable (e.g., LM_LICENSE_FILE)
is set correctly and then run ‘lmutil lmdiag’ to diagnose the problem.
Unable to checkout a license. Vsim is closing.
** Error: Invalid license environment. Application closing.

I’ve tested this wi/ the current nightly jar file and 3.7.2, both under Ubuntu 20.04 and 22.04.

MarcinOrlowski

This ticket is about using var to declare variables and address compiler’s decision to use int (as inferred from code) instead of long as needed -> i.e. var foo = 1; (int) vs var foo = 1L; (long).


This code block was copied from another TTL part.
I will create another PR where I fix this, that and that in multiple files.

Originally posted by @R3dst0ne in #1391 (comment)

alexandref75

74175 has a negative CLR but it is positive on the code:

Fix 74175, CLR is inverted
diff --git a/src/main/java/com/cburch/logisim/std/ttl/Ttl74175.java b/src/main/java/com/cburch/logisim/std/ttl/Ttl74175.java
index 3374a2e71..19c19b535 100644
--- a/src/main/java/com/cburch/logisim/std/ttl/Ttl74175.java
+++ b/src/main/java/com/cburch/logisim/std/ttl/Ttl74175.java
@@ -52,7 +52,7 @@ public class Ttl74175 extends AbstractTtlGate {
       state.setData(data);
     }
     var triggered = data.updateClock(state.getPortValue(7));
-    if (state.getPortValue(0) == Value.TRUE) {
+    if (state.getPortValue(0) == Value.FALSE) {
       data.setValue(Value.createKnown(data.getWidth(), 0));
     } else if (triggered) {
       Value[] vals = data.getValue().getAll();


btb

Real 74×266 ICs have open-collector outputs, which means they aren’t capable of driving their outputs high. This lets you connect the outputs directly together with other OC outputs without causing conflicts, and you need a pull-up resistor to bring the level high.

As implemented in logisim-evolution, however, the 74266 does drive its outputs high, so connecting more than one together will cause errors.

PR #1468 is for fixing this

z3roco01

I am trying to run logisim-evolution with ./gradlew run on archlinux and it loads but gives a blank white screen

btb

7436 ICs differ from 7402 in their pin arrangement, so just extending the 7402 class is incorrect.

Fyn-D

Hi. I’ve done a lot of work in Logisim evolution v2.13.8 using the transistor item. In this version the transistor worked perfectly, both N and P-type as shown in photo.
TransistorTest-v2 13 8

When I upgraded v3.7.2, the transistors no longer function as expected, as shown in photo
TransistorTest-v3 7 2

Please look into this as it means I’d have to modify all the work I’ve done changing all the transistor types and adding NOT gates.
This means several thousand alterations.
Thank you.

Also, the V3.x.x .jar files wont run on my Windows 10, but the v2 does. (My java run time is up-to-date)

We’re running Sitecore 6.5 with a few TDS projects, and I’ve been trying to get TFS builds to work on our TFS Build server. We receive the following error when the projects attempt to build:

C:Program Files (x86)MSBuildHedgehogDevelopmentSitecoreProjectv9.0HedgehogDevelopment.SitecoreProject.targets (354): 
Exception Invalid License(Exception) in file sitecorecontent.item. 
Stack trace: at HedgehogDevelopment.SitecoreProject.Tasks.CollectSitecoreItems.Execute()

We’ve installed TDS on the build server, and I’ve verified in the registry that the license key matches to our license. Not quite sure what might be the next step. Has anybody encountered this issue with TDS continuous integration builds before?

asked Jul 20, 2012 at 13:45

Jay S's user avatar

0

I appreciate that this is an old question but wanted to add this in case anyone else stumbles upon it.

I’ve been setting up TDS on Visual Studio Online (what was TFS Online) following this wonderful article from Michael Edwards: http://www.experimentsincode.com/?p=586

Although it’s a bit vague at the end of the article — you can actually include the License info with a config file. You have to add this file manually here:
C:Program Files (x86)MSBuildHedgehogDevelopmentSitecoreProjectv9.0
Call the file TDSLicense.config

Save the following (with your license information in) in the file:

<?xml version="1.0" encoding="utf-8" ?>
<license Owner="CompanyName" Key="aaaa-bbb-ccc-dddd" />

If it’s a permissions issue with reading the license from the registry — this should sort it out.

Micheal’s blog post is well worth a read — it goes over and above what I’ve detailed here.

answered Jun 10, 2014 at 20:53

Owen Niblock's user avatar

5

I’m not exactly sure I have an answer, but 2 things that happen to me frequently are:

  1. The Hedgehog license expires once a month (not sure if that’s my companie’s agreement)
  2. Sometimes I can’t use TDS sync unless I re-install the SiteCore connector. Luckily, there’s a button built-in for that: just right-click on TDS in Visual Studio, and select «Install SiteCore connector».

Hope this helps.

Zhaph - Ben Duguid's user avatar

answered Nov 7, 2012 at 18:08

Victoria Ruiz's user avatar

Victoria RuizVictoria Ruiz

4,8933 gold badges22 silver badges40 bronze badges

Понравилась статья? Поделить с друзьями:
  • Error invalid left hand side in assignment maple
  • Error invalid language selected кузя жукодром
  • Error invalid key required
  • Error invalid json rpc response
  • Error invalid item in proxylist section