Error loading design modelsim

I'm designing a Master-Slave D Flip Flop implementation in ModelSim. After compiling (Compile > Compile All), I'm typing vsim into the console, and the only error thrown is # vsim # Start time:...

I’m designing a Master-Slave D Flip Flop implementation in ModelSim. After compiling (Compile > Compile All), I’m typing vsim into the console, and the only error thrown is

# vsim
# Start time: [time]
# Error loading design

Is there any way of having vsim be more verbose with what is going wrong? Or, alternately, could someone tell me what I’m doing wrong?

For reference, my code is below:

methods.v

module dFlipFlop(
    D,
    Clk,
    En,
    Q
);

input D, Clk, En;
output Q;
reg Q;

always @ (posedge Clk)
if(~En) begin
    Q <= 1'b0;
end else begin
    Q <= D;
end

endmodule

module masterSlaveDFF(
    D,
    Clk,
    En,
    Q
);

input D, Clk, En;
output Q;

wire Y, inClk;

assign inClk = ~Clk;

dFlipFlop first (.D(D), .Clk(Clk), .En(En), .Q(Y));
dFlipFlop second (.D(Y), .Clk(inClk), .En(En), .Q(Q));

endmodule

dflipflop.v (My Testbench)

`include "methods.v"

module masterSlaveTest();

reg D, Clk, En, Q;

initial begin
    $monitor(D, Clk, En, Q);

    D = 1;
    Clk = 1;
    En = 0;

    #5 $finish;
end

always begin
    #5 Clk = ~Clk;
end

endmodule

The browser version you are using is not recommended for this site.
Please consider upgrading to the latest version of your browser by clicking one of the following links.

  • Safari
  • Chrome
  • Edge
  • Firefox

Article ID: 000084438

Content Type: Troubleshooting

Last Reviewed: 02/13/2006

Why do I get the message «# Error loading design» when loading a design into ModelSim Altera 5.3d

Environment

BUILT IN — ARTICLE INTRO SECOND COMPONENT

Description
One of the possible causes of this error is that ModelSim is unable to find the design files. This problem may occur if the path to the file being loaded is incorrect, the path contains a space character, or the file does not exist.

  • Description

Need more help?

Alt text to be used for img

Give Feedback

Disclaimer

Hi everyone, 

 

First I want to say that I searched all the threads here, and while this is a common problem, I could not figure out how to solve it. 

 

I am trying to use a small testbench I wrote using the Quartus testbench template writer, but I am getting this error: 

** Error: (vsim-3170) Could not find 'C:/Users/nettek/QuartusProjects/Final Project - 11.3.16 - par/test/simulation/modelsim/rtl_work.tb_test1'.# # Error loading design# Error: Error loading design# Pausing macro execution# MACRO ./Test_run_msim_rtl_vhdl.do PAUSED at line 14

 

Steps I took: 

1. Created a BDF file with two components in it, obviously it has input/output ports and some internal signals. 

2. Created an HDL file from the BDF file. Added it to project. Removed the BDF file from project (did not delete it). 

3. Created a testbench using the Quartus template writer. 

4. Added the VHT file to project. 

5. Took to Assignments -> Settings -> EDA tool settings -> Simulation -> 

Chose compile test bench and chose the VHT file. 

6. Tools -> Run simulation tool -> RTL simulation which opened Modelsim. 

 

Of course I always made sure to compile whenever I needed to. 

 

I don’t understand this problem, just two days ago it didn’t happen and now it does. What could be the problem? 

 

Also, I would appreciate if you could tell me how to test internal signals of a BDF file in Modelsim using a testbench. I know that I can give the signals names, turn the BDF file into a HDL file and then compile it in Modelsim, and then force the signals, but I want to use a testbench to make this be automatic. Problem is, using a testbench, I only see the input/outputs. 

 

Thank you!

Содержание

  1. Modelsim error:error loading design #264
  2. Comments
  3. vsim -do «source tcl_files/run.tcl;»
  4. Start time: 10:37:33 on Dec 12,2018
  5. ** Note: (vsim-3812) Design is being optimized.
  6. ** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Can’t have packed array of integer type.
  7. ** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Vopt Compiler exiting
  8. ** Error: (vopt-2064) Compiler back-end code generation process terminated with code 211.
  9. ** Note: (vopt-143) Recognized 1 FSM in architecture body «uart_receiver(rtl)».
  10. ** Note: (vopt-143) Recognized 1 FSM in module «axi_BW_allocator(fast)».
  11. Error loading design
  12. Footer
  13. What is Error loading design in ModelSim?
  14. What is Error loading design in ModelSim?
  15. Do file commands ModelSim?
  16. How do I reset ModelSim?
  17. What is WLF file?
  18. How do you open a wave?
  19. How much does ModelSim cost?
  20. Why work library is empty in ModelSim?
  21. How do I add a signal to ModelSim?
  22. How do I use ModelSim ini?
  23. How can I get ModelSim for free?
  24. What is ModelSim used for?
  25. What is the advantage of using ModelSim software?
  26. How do I install Questasim on Windows?
  27. Is ModelSim open source?
  28. Which is the best software for Verilog?
  29. What programs use VHDL?
  30. What is error loading design in modelsim
  31. ModelSim-Altera Error loading design
  32. What is error loading design in modelsim
  33. ModelSim-Altera Error loading design
  34. What is error loading design in modelsim
  35. ModelSim-Altera Error loading design

Modelsim error:error loading design #264

I just want to run helloworld test, I chose cmake_configure.riscv.gcc.sh , and the steps what I do is as same as what README.md told me. The error happened at the last step:
anyone has the same question?or someone help me ,thanks a lot.
ps:I’m new oneo(╥﹏╥)o

The text was updated successfully, but these errors were encountered:

The contents of modelsim transcription are as follows :
source tcl_files/run.tcl

vsim -do «source tcl_files/run.tcl;»

Start time: 10:37:33 on Dec 12,2018

** Note: (vsim-3812) Design is being optimized.

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Can’t have packed array of integer type.

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Vopt Compiler exiting

** Error: (vopt-2064) Compiler back-end code generation process terminated with code 211.

** Note: (vopt-143) Recognized 1 FSM in architecture body «uart_receiver(rtl)».

** Note: (vopt-143) Recognized 1 FSM in module «axi_BW_allocator(fast)».

Error loading design

this is the result after I added VERBOSE=1 . maybe it’s more clear

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Can’t have packed array of integer type.

Fix your testbench.

@quangdaovu the code I did not find packed array of integer type. what is more ,line 388 is the end of the code. I think it may be not associated to the code.

I found it. at pulpino-1/tb/mem_dpi.svh,
buffer[i] = rdata_temp_arr[j][ 7:0];
buffer[i+1] = rdata_temp_arr[j][15:8];
buffer[i+2] = rdata_temp_arr[j][23:16];
buffer[i+3] = rdata_temp_arr[j][31:24];
error happened here.
The following is what I modified:
automatic logic [31:0] xxx_tmp=rdata_temp_arr[j];
buffer[i]= xxx_tmp[7:0];
buffer[i+1]=xxx_tmp[15:8];
buffer[i+2]=xxx_tmp[23:16];
buffer[i+3]=xxx_tmp[31:24];
//buffer[i] = rdata_temp_arr[j][ 7:0];
//buffer[i+1] = rdata_temp_arr[j][15:8];
//buffer[i+2] = rdata_temp_arr[j][23:16];
//buffer[i+3] = rdata_temp_arr[j][31:24];
and then,there is «helloworld» in console.But I don’t know the reason why the error happened.
If you know the reason,tell me please.Thanks!

© 2023 GitHub, Inc.

You can’t perform that action at this time.

You signed in with another tab or window. Reload to refresh your session. You signed out in another tab or window. Reload to refresh your session.

Источник

What is Error loading design in ModelSim?

Table of Contents

What is Error loading design in ModelSim?

One of the possible causes of this error is that ModelSim is unable to find the design files. This problem may occur if the path to the file being loaded is incorrect, the path contains a space character, or the file does not exist.

Do file commands ModelSim?

DO files are an automation tool in ModelSim. This tool allows ModelSim to automatically assign values to inputs in a simulation, run or restart the simulation, or even automatically verify circuits. In essence, DO files contain a list of commands that are run in the ModelSim command prompt.

How do I delete a transcript on ModelSim?

You can save the transcript at any time before or during simulation. You have the option of clearing the transcript (File > Clear Transcript) if you don’t want to save the entire command history. To save the contents of the transcript select File > Save Transcript As from the Main menu.

How do I reset ModelSim?

Restart a simulation by using ModelSim menu item “Simulate > Restart”. This will cause the following pop-up to appear. Press the Restart button. At this point you can set breakpoints, display additional signals, and run the simulation (using the Run command described previously).

What is WLF file?

wlf” Filename extension for a ‘Mentor Graphics ModelSim’ (digital logic simulation application) file. In this context, wlf is short for ‘wave log format’ Washington Legal Foundation. Waist-level finder, a kind of viewfinder used in some cameras.

How do I open a WLF file?

Depending on your operating system, you will right-click on the WLF file, select “Open With” and select either Argo WebLoad I Upload, Dr. Watson Win98/Me Log File, or a similar software program from the installed programs on your PC.

How do you open a wave?

Find the . do file you saved and click Open to reload the Wave window with your signals, radices, cursors and zoom level intact. Alternatively, you can run “do ” on the VSIM command line (in the Transcript window), where is the . do file you saved.

How much does ModelSim cost?

Additional Development Tools

Type Price
ModelSim*-Intel® FPGA Edition software $1,995 USD
ModelSim*-Intel® FPGA Edition software renewal $1,695 USD
DSP Development Tool
Fixed/Floating subscription $1,995 USD

How do I change my QuestaSim folder?

Use the “cd” command to change directory. You can use “pwd” command to show the current directory and “ls” command to list its contents. In your project directory, create a design library and map it. Design library is a library in which ModelSim stores your compiled design units.

Why work library is empty in ModelSim?

From your screenshot it seems that you haven’t saved the file – are you sure you saved your file before compiling? Else ModelSim might be simply compiling an empty file – which would, of course, yield nothing to add to a library. If that’s not the case, try this: Delete old work library.

How do I add a signal to ModelSim?

Go to View>Wave on the menu bar to bring up the waveform window. Right-click on ‘out’ in the Objects window, and add it to the wave by clicking Add to Wave>Selected Signals.

How do you force in ModelSim?

To force a value to an input signal, right click on the signal and select ‘force’ (figure 13). A window in figure 14 will appear. Write the value which you want to force e.g. 1 or 0 for a single bit signal or 1111000011110000 for a 16-bit vector. And click OK.

How do I use ModelSim ini?

Changing the modelsim. ini file (ModelSim)

  1. Open the modelsim. ini file with a text editor.
  2. Find the variable you want to edit in the appropriate section of the file.
  3. Type the new value for the variable after the equal ( = ) sign.
  4. If the variable is commented out with a semicolon ( ; ) remove the semicolon.
  5. Save.

How can I get ModelSim for free?

There are two opportunities to get a legal free Modelsim license:

  1. If you are a student, you can get a free student edition at Mentor website link.
  2. From Altera website, downloading Quartus II web edition. Altera provides a free license limited to Altera FPGA.

How big is ModelSim?

ModelSim*-Intel® FPGA Starter Edition
Mixed language support Mixed language support
Operating System (OS) support Windows*, Linux* (more details)
Design size support Small designs 10,000 executable line limit
Download Download

What is ModelSim used for?

ModelSim is a multi-language environment by Mentor Graphics, for simulation of hardware description languages such as VHDL, Verilog and SystemC, and includes a built-in C debugger. ModelSim can be used independently, or in conjunction with Intel Quartus Prime, PSIM, Xilinx ISE or Xilinx Vivado.

What is the advantage of using ModelSim software?

ModelSim eases the process of finding design defects with an intelligently engineered debug environment that efficiently displays design data for analysis and debug of all hardware description languages.

What is Questa Advanced Simulator?

The Questa Advanced Simulator is the core simulation and debug engine of the Questa Verification Solution; the comprehensive advanced verification platform capable of reducing the risk of validating complex FPGA and SoC designs.

How do I install Questasim on Windows?

zip into the questasim> folder Open up the zip file questasim-gcc-4.2. 1-mingw32vc12. zip and extract the contents into this questasim> folder. At this point, the installed questasim directory contains all the base files, the docs files and the platform specific executables.

Is ModelSim open source?

ModelSim is described as ‘-Altera Software’. There are seven alternatives to ModelSim for Windows, Linux, Online / Web-based and Mac. The best alternative is gEDA Project, which is both free and Open Source.

Which software is used for VHDL?

Simulator name License Supported languages
FreeHDL GPL2+ VHDL-1987, VHDL-1993
GHDL GPL2+ VHDL-1987, VHDL-1993, VHDL-2002, partial VHDL-2008
Icarus Verilog GPL2+
NVC GPL-3.0-or-later IEEE 1076-2002, VHDL-1993, subset of VHDL-2008

Which is the best software for Verilog?

  • Icarus Verilog : This is best Free Verilog simulator out there, it is simulation and synthesis tool.
  • Verilator : Verilator is a compiled cycle-based simulator, which is free, but performs as fast as the commercial products.
  • Cver : Cver is an interpreted Verilog simulator.

What programs use VHDL?

VHDL simulators Cadence Incisive. Mentor Graphics ModelSim. Mentor Graphics Questa Advanced Simulator.

Источник

What is error loading design in modelsim

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.

  • Intel Communities
  • Product Support Forums
  • FPGA
  • Intel® Quartus® Prime Software
  • ModelSim-Altera Error loading design

ModelSim-Altera Error loading design

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Bookmark
  • Subscribe
  • Mute
  • Printer Friendly Page
  • Mark as New
  • Bookmark
  • Subscribe
  • Mute
  • Subscribe to RSS Feed
  • Permalink
  • Print
  • Report Inappropriate Content

This is my first time using ModelSim and writing testbenches, so this may sound like a silly problem. But I am having problems simulating my project on ModelSim from Quartus II. Here is the testbench I have written up for the project:

timing_gen_block mytiming_gen(reset, IN_4ms, CLK_IN, OUT_4ms, OUT_1ms, OUT_20KHZ, LOST_SINK);

initial // Clock generator

forever# 8 CLK_IN = !CLK_IN;

initial // Test stimulus

IN_4ms = 1;# 5 reset = 1;# 250 IN_4ms = 0;

$monitor($stime,, reset,, IN_4ms,, CLK_IN);

Now this compiles just fine. But when I try to simulate it in ModelSim from Quartus II by selecting tools > run eda simulation tool > eda rtl simulation , I get the following output in ModelSim:

Источник

What is error loading design in modelsim

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.

  • Intel Communities
  • Product Support Forums
  • FPGA
  • Intel® Quartus® Prime Software
  • Re: ModelSim-Altera Error loading design

ModelSim-Altera Error loading design

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Bookmark
  • Subscribe
  • Mute
  • Printer Friendly Page
  • Mark as New
  • Bookmark
  • Subscribe
  • Mute
  • Subscribe to RSS Feed
  • Permalink
  • Print
  • Report Inappropriate Content

This is my first time using ModelSim and writing testbenches, so this may sound like a silly problem. But I am having problems simulating my project on ModelSim from Quartus II. Here is the testbench I have written up for the project:

timing_gen_block mytiming_gen(reset, IN_4ms, CLK_IN, OUT_4ms, OUT_1ms, OUT_20KHZ, LOST_SINK);

initial // Clock generator

forever# 8 CLK_IN = !CLK_IN;

initial // Test stimulus

IN_4ms = 1;# 5 reset = 1;# 250 IN_4ms = 0;

$monitor($stime,, reset,, IN_4ms,, CLK_IN);

Now this compiles just fine. But when I try to simulate it in ModelSim from Quartus II by selecting tools > run eda simulation tool > eda rtl simulation , I get the following output in ModelSim:

Источник

What is error loading design in modelsim

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile.

  • Intel Communities
  • Product Support Forums
  • FPGA
  • Intel® Quartus® Prime Software
  • Re: ModelSim-Altera Error loading design

ModelSim-Altera Error loading design

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Bookmark
  • Subscribe
  • Mute
  • Printer Friendly Page
  • Mark as New
  • Bookmark
  • Subscribe
  • Mute
  • Subscribe to RSS Feed
  • Permalink
  • Print
  • Report Inappropriate Content

This is my first time using ModelSim and writing testbenches, so this may sound like a silly problem. But I am having problems simulating my project on ModelSim from Quartus II. Here is the testbench I have written up for the project:

timing_gen_block mytiming_gen(reset, IN_4ms, CLK_IN, OUT_4ms, OUT_1ms, OUT_20KHZ, LOST_SINK);

initial // Clock generator

forever# 8 CLK_IN = !CLK_IN;

initial // Test stimulus

IN_4ms = 1;# 5 reset = 1;# 250 IN_4ms = 0;

$monitor($stime,, reset,, IN_4ms,, CLK_IN);

Now this compiles just fine. But when I try to simulate it in ModelSim from Quartus II by selecting tools > run eda simulation tool > eda rtl simulation , I get the following output in ModelSim:

Источник

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and
privacy statement. We’ll occasionally send you account related emails.

Already on GitHub?
Sign in
to your account


Closed

wooolf0455 opened this issue

Dec 12, 2018

· 5 comments

Comments

@wooolf0455

I just want to run helloworld test, I chose cmake_configure.riscv.gcc.sh , and the steps what I do is as same as what README.md told me. The error happened at the last step:
anyone has the same question?or someone help me ,thanks a lot!!!
ps:I’m new oneo(╥﹏╥)o

@wooolf0455

The contents of modelsim transcription are as follows :
source tcl_files/run.tcl

vsim -do «source tcl_files/run.tcl;»

Start time: 10:37:33 on Dec 12,2018

** Note: (vsim-3812) Design is being optimized…

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Can’t have packed array of integer type.

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Vopt Compiler exiting

** Error: (vopt-2064) Compiler back-end code generation process terminated with code 211.

** Note: (vopt-143) Recognized 1 FSM in architecture body «uart_receiver(rtl)».

** Note: (vopt-143) Recognized 1 FSM in module «axi_BW_allocator(fast)».

Error loading design

@wooolf0455

[yzhou@cloud017 ~/Documents/pulpino2/sw/build]$make VERBOSE=1 helloworld.vsimc
/export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -H/export/homeO5/yzhou/Documents/pulpino2/sw -B/export/homeO5/yzhou/Documents/pulpino2/sw/build —check-build-system CMakeFiles/Makefile.cmake 0
make -f CMakeFiles/Makefile2 helloworld.vsimc
make[1]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -H/export/homeO5/yzhou/Documents/pulpino2/sw -B/export/homeO5/yzhou/Documents/pulpino2/sw/build --check-build-system CMakeFiles/Makefile.cmake 0 /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_progress_start /export/homeO5/yzhou/Documents/pulpino2/sw/build/CMakeFiles 4 make -f CMakeFiles/Makefile2 apps/helloworld/CMakeFiles/helloworld.vsimc.dir/all make[2]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f libs/bench_lib/CMakeFiles/bench.dir/build.make libs/bench_lib/CMakeFiles/bench.dir/depend
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends "Unix Makefiles" /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/libs/bench_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/bench_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/bench_lib/CMakeFiles/bench.dir/DependInfo.cmake --color= make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f libs/bench_lib/CMakeFiles/bench.dir/build.make libs/bench_lib/CMakeFiles/bench.dir/build
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[3]: Nothing to be done for libs/bench_lib/CMakeFiles/bench.dir/build’.
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 0%] Built target bench make -f CMakeFiles/crt0.dir/build.make CMakeFiles/crt0.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/CMakeFiles/crt0.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f CMakeFiles/crt0.dir/build.make CMakeFiles/crt0.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make[3]: Nothing to be done for CMakeFiles/crt0.dir/build'. make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[ 0%] Built target crt0
make -f libs/string_lib/CMakeFiles/string.dir/build.make libs/string_lib/CMakeFiles/string.dir/depend
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends "Unix Makefiles" /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/libs/string_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/string_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/string_lib/CMakeFiles/string.dir/DependInfo.cmake --color= make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f libs/string_lib/CMakeFiles/string.dir/build.make libs/string_lib/CMakeFiles/string.dir/build
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[3]: Nothing to be done for libs/string_lib/CMakeFiles/string.dir/build’.
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 0%] Built target string make -f libs/sys_lib/CMakeFiles/sys.dir/build.make libs/sys_lib/CMakeFiles/sys.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/libs/sys_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/sys_lib /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/sys_lib/CMakeFiles/sys.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f libs/sys_lib/CMakeFiles/sys.dir/build.make libs/sys_lib/CMakeFiles/sys.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make[3]: Nothing to be done for libs/sys_lib/CMakeFiles/sys.dir/build'. make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[ 25%] Built target sys
make -f libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/build.make libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/depend
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends "Unix Makefiles" /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/libs/Arduino_lib/core_libs /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/Arduino_lib/core_libs /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/DependInfo.cmake --color= make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/build.make libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/build
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[3]: Nothing to be done for libs/Arduino_lib/core_libs/CMakeFiles/Arduino_core.dir/build’.
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 25%] Built target Arduino_core make -f libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/build.make libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/libs/Arduino_lib/separate_libs /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/Arduino_lib/separate_libs /export/homeO5/yzhou/Documents/pulpino2/sw/build/libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/build.make libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make[3]: Nothing to be done for libs/Arduino_lib/separate_libs/CMakeFiles/Arduino_separate.dir/build'. make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[ 50%] Built target Arduino_separate
make -f apps/helloworld/CMakeFiles/helloworld.elf.dir/build.make apps/helloworld/CMakeFiles/helloworld.elf.dir/depend
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends "Unix Makefiles" /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld/CMakeFiles/helloworld.elf.dir/DependInfo.cmake --color= make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f apps/helloworld/CMakeFiles/helloworld.elf.dir/build.make apps/helloworld/CMakeFiles/helloworld.elf.dir/build
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[3]: Nothing to be done for apps/helloworld/CMakeFiles/helloworld.elf.dir/build’.
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 50%] Built target helloworld.elf make -f apps/helloworld/CMakeFiles/helloworld.stim.txt.dir/build.make apps/helloworld/CMakeFiles/helloworld.stim.txt.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld/CMakeFiles/helloworld.stim.txt.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f apps/helloworld/CMakeFiles/helloworld.stim.txt.dir/build.make apps/helloworld/CMakeFiles/helloworld.stim.txt.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[ 50%] Generating vectors/stim.txt
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 50%] Built target helloworld.stim.txt make -f apps/helloworld/CMakeFiles/helloworld.links.dir/build.make apps/helloworld/CMakeFiles/helloworld.links.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld/CMakeFiles/helloworld.links.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f apps/helloworld/CMakeFiles/helloworld.links.dir/build.make apps/helloworld/CMakeFiles/helloworld.links.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make[3]: Nothing to be done for apps/helloworld/CMakeFiles/helloworld.links.dir/build'. make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[ 75%] Built target helloworld.links
make -f apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/build.make apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/depend
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends "Unix Makefiles" /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/DependInfo.cmake --color= make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make -f apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/build.make apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/build
make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[3]: Nothing to be done for apps/helloworld/CMakeFiles/helloworld.slm.cmd.dir/build’.
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' [ 75%] Built target helloworld.slm.cmd make -f apps/helloworld/CMakeFiles/helloworld.vsimc.dir/build.make apps/helloworld/CMakeFiles/helloworld.vsimc.dir/depend make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E cmake_depends «Unix Makefiles» /export/homeO5/yzhou/Documents/pulpino2/sw /export/homeO5/yzhou/Documents/pulpino2/sw/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld/CMakeFiles/helloworld.vsimc.dir/DependInfo.cmake —color=
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make -f apps/helloworld/CMakeFiles/helloworld.vsimc.dir/build.make apps/helloworld/CMakeFiles/helloworld.vsimc.dir/build make[3]: Entering directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
[100%] Running helloworld in ModelSim
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E remove stdout/*
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld && /export/homeO5/yzhou/Documents/cmake/cmake-3.11.4-Linux-x86_64/bin/cmake -E remove FS/*
cd /export/homeO5/yzhou/Documents/pulpino2/sw/build/apps/helloworld && tcsh -c env VSIM_DIR=/export/homeO5/yzhou/Documents/pulpino2/vsim USE_ZERO_RISCY=0 RISCY_RV32F=0 ZERO_RV32M=0 ZERO_RV32E=0 PL_NETLIST= TB_TEST=»» /export/homeO1/mentor/questa10.4a/questasim/bin/vsim -c -64 -do ‘source tcl_files/run.tcl; run -a; exit;’ >vsim.log
Error loading design
make[3]: *** [apps/helloworld/CMakeFiles/helloworld.vsimc] Error 12
make[3]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build' make[2]: *** [apps/helloworld/CMakeFiles/helloworld.vsimc.dir/all] Error 2 make[2]: Leaving directory /export/homeO5/yzhou/Documents/pulpino2/sw/build’
make[1]: *** [apps/helloworld/CMakeFiles/helloworld.vsimc.dir/rule] Error 2
make[1]: Leaving directory `/export/homeO5/yzhou/Documents/pulpino2/sw/build’
make: *** [helloworld.vsimc] Error 2

this is the result after I added VERBOSE=1 . maybe it’s more clear

This was referenced

Dec 12, 2018

@quangdaovu

** Error: /export/homeO5/yzhou/Documents/pulpino/vsim/..//tb/tb.sv(388): Can’t have packed array of integer type.

Fix your testbench.

@wooolf0455

@quangdaovu the code I did not find packed array of integer type. what is more ,line 388 is the end of the code. I think it may be not associated to the code.

@wooolf0455

I found it!!!! at pulpino-1/tb/mem_dpi.svh,
buffer[i] = rdata_temp_arr[j][ 7:0];
buffer[i+1] = rdata_temp_arr[j][15:8];
buffer[i+2] = rdata_temp_arr[j][23:16];
buffer[i+3] = rdata_temp_arr[j][31:24];
error happened here.
The following is what I modified:
automatic logic [31:0] xxx_tmp=rdata_temp_arr[j];
buffer[i]= xxx_tmp[7:0];
buffer[i+1]=xxx_tmp[15:8];
buffer[i+2]=xxx_tmp[23:16];
buffer[i+3]=xxx_tmp[31:24];
//buffer[i] = rdata_temp_arr[j][ 7:0];
//buffer[i+1] = rdata_temp_arr[j][15:8];
//buffer[i+2] = rdata_temp_arr[j][23:16];
//buffer[i+3] = rdata_temp_arr[j][31:24];
and then,there is «helloworld» in console.But I don’t know the reason why the error happened.
If you know the reason,tell me please.Thanks!

2 participants

@quangdaovu

@wooolf0455

Click here follow the steps to fix Modelsim Error Loading Design and related errors.

Instructions

 

To Fix (Modelsim Error Loading Design) error you need to
follow the steps below:

Step 1:

 
Download
(Modelsim Error Loading Design) Repair Tool
   

Step 2:

 
Click the «Scan» button
   

Step 3:

 
Click ‘Fix All‘ and you’re done!
 

Compatibility:
Windows 7, 8, Vista, XP

Download Size: 6MB
Requirements: 300 MHz Processor, 256 MB Ram, 22 MB HDD

Limitations:
This download is a free evaluation version. To unlock all features and tools, a purchase is required.

Modelsim Error Loading Design Error Codes are caused in one way or another by misconfigured system files
in your windows operating system.

If you have Modelsim Error Loading Design errors then we strongly recommend that you

Download (Modelsim Error Loading Design) Repair Tool.

This article contains information that shows you how to fix
Modelsim Error Loading Design
both
(manually) and (automatically) , In addition, this article will help you troubleshoot some common error messages related to Modelsim Error Loading Design error code that you may receive.

Note:
This article was updated on 2023-02-03 and previously published under WIKI_Q210794

Contents

  •   1. What is Modelsim Error Loading Design error?
  •   2. What causes Modelsim Error Loading Design error?
  •   3. How to easily fix Modelsim Error Loading Design errors

What is Modelsim Error Loading Design error?

The Modelsim Error Loading Design error is the Hexadecimal format of the error caused. This is common error code format used by windows and other windows compatible software and driver vendors.

This code is used by the vendor to identify the error caused. This Modelsim Error Loading Design error code has a numeric error number and a technical description. In some cases the error may have more parameters in Modelsim Error Loading Design format .This additional hexadecimal code are the address of the memory locations where the instruction(s) was loaded at the time of the error.

What causes Modelsim Error Loading Design error?

The Modelsim Error Loading Design error may be caused by windows system files damage. The corrupted system files entries can be a real threat to the well being of your computer.

There can be many events which may have resulted in the system files errors. An incomplete installation, an incomplete uninstall, improper deletion of applications or hardware. It can also be caused if your computer is recovered from a virus or adware/spyware
attack or by an improper shutdown of the computer. All the above actives
may result in the deletion or corruption of the entries in the windows
system files. This corrupted system file will lead to the missing and wrongly
linked information and files needed for the proper working of the
application.

How to easily fix Modelsim Error Loading Design error?

There are two (2) ways to fix Modelsim Error Loading Design Error:

Advanced Computer User Solution (manual update):

1) Start your computer and log on as an administrator.

2) Click the Start button then select All Programs, Accessories, System Tools, and then click System Restore.

3) In the new window, select «Restore my computer to an earlier time» option and then click Next.

4) Select the most recent system restore point from the «On this list, click a restore point» list, and then click Next.

5) Click Next on the confirmation window.

6) Restarts the computer when the restoration is finished.

Novice Computer User Solution (completely automated):

1) Download (Modelsim Error Loading Design) repair utility.

2) Install program and click Scan button.

3) Click the Fix Errors button when scan is completed.

4) Restart your computer.

How does it work?

This tool will scan and diagnose, then repairs, your PC with patent
pending technology that fix your windows operating system registry
structure.
basic features: (repairs system freezing and rebooting issues , start-up customization , browser helper object management , program removal management , live updates , windows structure repair.)

26.10.2020, 11:01. Показов 2484. Ответов 1


При моделировании в среде, ModelSim выдает ошибку: # Error loading design

Мой код:

Код

`timescale 10ns/100ps
module Verilog1;
wire [7:0]out;
reg [2:0]pin;
lab_1 g1(pin,out);
initial
begin
pin[1]=1'b0; pin[2]=1'b0; pin[3]=1'b0;
#3 pin[1]=1'b0; pin[2]=1'b0; pin[3]=1'b1;
#3 pin[1]=1'b0; pin[2]=1'b1; pin[3]=1'b0;
#3 pin[1]=1'b1; pin[2]=1'b0; pin[3]=1'b0;
#3 pin[1]=1'b0; pin[2]=1'b1; pin[3]=1'b1;
#3 pin[1]=1'b1; pin[2]=1'b1; pin[3]=1'b0;
#3 pin[1]=1'b1; pin[2]=1'b0; pin[3]=1'b1;
#3 pin[1]=1'b1; pin[2]=1'b1; pin[3]=1'b1;
end
initial
#50 $stop;
endmodule

Моя ошибка:
# Reading C:/altera/10.0/modelsim_ase/tcl/vsim/pref.tcl
# do lab_1_run_msim_rtl_verilog.do
# if {[file exists rtl_work]} {
# vdel -lib rtl_work -all
# }
# vlib rtl_work
# vmap work rtl_work
# Copying C:altera10.0modelsim_asewin32aloem/../modelsim.ini to
modelsim.ini
# Modifying modelsim.ini
# ** Warning: Copied
C:altera10.0modelsim_asewin32aloem/../modelsim.ini to modelsim.ini.
# Updated modelsim.ini.
#
# vlog -vlog01compat -work work +incdir+C:/altera/labs
{C:/altera/labs/lab_1.v}
# Model Technology ModelSim ALTERA vlog 6.5e Compiler 2010.02 Feb 27
2010
# — Compiling module lab_1
#
# Top level modules:
# lab_1
#
# vlog -vlog01compat -work work +incdir+C:/altera/labs
{C:/altera/labs/Verilog1.v}
# Model Technology ModelSim ALTERA vlog 6.5e Compiler 2010.02 Feb 27
2010
# — Compiling module Verilog1
# ** Warning: C:/altera/labs/Verilog1.v(8): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(9): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(10): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(11): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(12): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(13): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(14): [BSOB] — Bit-select into
‘pin’ is out of bounds.
# ** Warning: C:/altera/labs/Verilog1.v(15): [BSOB] — Bit-select into
‘pin’ is out of bounds.
#
# Top level modules:
# Verilog1
#
# vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L
cycloneive_ver -L rtl_work -L work -voptargs=»+acc» 50
# vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L
cycloneive_ver -L rtl_work -L work -voptargs=»+acc» -t 1ps 50
# ** Error: (vsim-3170) Could not find
‘C:alteralabssimulationmodelsimrtl_work.50’.
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./lab_1_run_msim_rtl_verilog.do PAUSED at line 12

__________________
Помощь в написании контрольных, курсовых и дипломных работ, диссертаций здесь



0



mBird


  • #1

I just downloaded Xilinx ISE 8.1 and ModelSim XE III/Starter 6.0d
I make a simple project, using schematic (one and gate) an dthen make a test
bench waveform. I then do Simulate Behaviural Model but no matter what I do
I always get # Error loading design with no other indication of erors. In
the previous version of ISE and ModelSim it all worked so I am not sure what
is error?
Any help greatly appretiared!

The results of from ModelSim:
# Reading C:/Modeltech_xe_starter/tcl/vsim/pref.tcl
# do m.fdo
# ** Warning: (vlib-34) Library already exists at «work».
# Model Technology ModelSim XE III vlog 6.0d Compiler 2005.04 Apr 26 2005
# — Compiling module FD_MXILINX_matt_sch
# — Compiling module matt_sch
#
# Top level modules:
# matt_sch
# Model Technology ModelSim XE III vcom 6.0d Compiler 2005.04 Apr 26 2005
# — Loading package standard
# — Loading package textio
# — Loading package std_logic_1164
# — Loading package std_logic_textio
# — Loading package std_logic_arith
# — Loading package std_logic_unsigned
# — Compiling entity m
# — Compiling architecture testbench_arch of m
# Model Technology ModelSim XE III vlog 6.0d Compiler 2005.04 Apr 26 2005
# — Compiling module glbl
#
# Top level modules:
# glbl
# vsim -L cpld_ver -L uni9000_ver -lib work -t 1ps m glbl
# Loading C:Modeltech_xe_starterwin32xoem/../std.standard
# Loading C:Modeltech_xe_starterwin32xoem/../std.textio(body)
# Loading C:Modeltech_xe_starterwin32xoem/../ieee.std_logic_1164(body)
# Loading C:Modeltech_xe_starterwin32xoem/../ieee.std_logic_textio(body)
# Loading C:Modeltech_xe_starterwin32xoem/../ieee.std_logic_arith(body)
# Loading C:Modeltech_xe_starterwin32xoem/../ieee.std_logic_unsigned(body)
# Loading work.m(testbench_arch)
# XE version supports only a single HDL
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./m.fdo PAUSED at line 8

Advertisements

Hans


  • #2

Looks like you are using both vlog (verilog) and vcom (vhdl) compiler, check
that you have a dual language license,

Hans.
www.ht-lab.com

mBird


  • #3

I downloaded the Xilinx ISE 8.1 and ModelSim XE III/Starter 6.0d from Xilinx
site. These are the free starter products. In the past I used ISE and
ModelSim older versions and all worked. I am not sure what I should do to
make these work. I just want simple VHDL and to use Schematics. I dont see
any way to tell ISE not to do dual language? Any advice appretiated!

Hans


  • #4

You are referencing Verilog primitive libraries on the vsim line:

vsim -L cpld_ver -L uni9000_ver -lib

There must be an option in ISE to select the VHDL or Verilog? Are your
schematics translated to Verilog?

Hans
www.ht-lab.com

VIPS


  • #5

Hi
this problem arises when u r openning two instances of the model sim.
try this out and see did u open the two instances of the modelsim.
«XE version supports only a single HDL » this error is common when two
windows of modelsim wre open

Bye

Vips

mBird


  • #6

The project Synthesis Tool setting has only one setting: XST (VHDL/Verilog)
I did get it to work by selecting ModelSim (PS/SE) Mixed instead of ModelSim
XE as the project’s simulator but I am puzzled as to how that could even
work since I have ModelSim XE installed only?
Thanks for your help and info!

Advertisements

mBird


  • #7

Hi —
Thanks for the idea. I am sure to have only one instance running.
I did get it to work by selecting ModelSim (PS/SE) Mixed instead of ModelSim
XE as the project’s simulator but I am puzzled as to how that could even
work since I have ModelSim XE installed only?
Thanks for your help and info!

Want to reply to this thread or ask your own question?

You’ll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.


Ask a Question

Понравилась статья? Поделить с друзьями:
  • Error loading control table error loading cnt file xentry passthru
  • Error loading component moxel 1с
  • Error load prelinked kernel with status 0x800000000000e
  • Error load prelinked kernel with status 0x8000000000009
  • Error loading command install loaderror cannot load such file openssl