Error suppressible vsim 12110

Hi, I am trying to simulate a simple inverter in ModelSim10.7 Windows 64 bit edition. I am getting the ‘novopt’ error. I tried by forcing the variable as ‘voptflow=0!’ in the modelsim.ini file. But the error remains. I am attaching a copy of the error pop up. Pls. advice how to remove the...

Skip to main content

Forum for Electronics

Forum for Electronics

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals… and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

  • Digital Design and Embedded Programming

  • ASIC Design Methodologies and Tools (Digital)

You are using an out of date browser. It may not display this or other websites correctly.
You should upgrade or use an alternative browser.

ModelSim simulation error


  • Thread starter

    garvind25


  • Start date

    Jul 11, 2019

Status
Not open for further replies.

  • #1

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


Hi,

I am trying to simulate a simple inverter in ModelSim10.7 Windows 64 bit edition. I am getting the ‘novopt’ error. I tried by forcing the variable as ‘voptflow=0!’ in the modelsim.ini file. But the error remains. I am attaching a copy of the error pop up.

modelsim10.7.jpg

Pls. advice how to remove the error.

Thanks,
Arvind Gupta

  • #2

It states how to remove the error in the text showed. The voptflow is for enabling/disabling the use of the separate vopt program.

1) you can suppress the error: Error (suppressible) by using the 12110 number.
2) you can use vopt (separate program) or -voptargs (vsim switch) or +acc (vlog swith) with appropriate options to enable visibility of the objects of interest.
e.g.
r: registers/memories
n: nets
p: ports
are the typical basic ones you want to always use for debugging

If you need detailed information use the Modelsim documentation it’s not hard to find the information.

  • #3

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


Thanks for the reply. I did check the user guide. As per it, it -novopt is to be removed from the vlog, vcom and vsim commands and the -voptflow variable is to be reset in the modelsim.ini file (snapshot attached). I was able to reset the variable in modelsim .ini file but I dont know where to find these commands for removing the switch. It would be there somewhere in the user guide but that is 1900+ pages long and I am new to this tool. Hence asking.

table_modelsim_ug.jpg

Link to download modelsim.ini file: **broken link removed**

Arvind Gupta.

  • #4

Search the guide for voptarg and/or acc, which is what I was hoping you would do as I gave those two options in my previous post.

  • #5

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


OK. I did that. As I understood from the user manual, the -voptarg is used to pass command line instructions to the tool. For eg: vsim mydesign -voptargs=»+acc=rn». Most probably the tool for the GUI mode also gets such instructions when the compile/simulate icons are clicked. Hence as asking in my previous post, where are these commands located for the GUI mode (like the voptflow option which is present in modelsim.ini file)? If I know that, I will be able to manually edit the same to remove the -novopt switch.

Thanks and Regards,
Arvind Gupta

  • #6

It should be under something like:

Simulate => Start Simulation => Others(tab) => Other Vsim Options(box)

You should really start writing do scripts to perform your compilation and launching the simulation. It makes it much easier to transition to developing regression suites to retest the design if you ever modify a design.

I’ve probably used the Compile and Simulate menus twice in the last 15 years, because someone who only uses the GUI flow never learned how to script a simulation. First thing I did was script the flow if I had more than a single simulation to run (which was the case every time).

  • #7

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


It should be under something like:

Simulate => Start Simulation => Others(tab) => Other Vsim Options(box)

You should really start writing do scripts to perform your compilation and launching the simulation. It makes it much easier to transition to developing regression suites to retest the design if you ever modify a design.

No joy. The vsim options box in my case is empty. Screenshot attached.

I would consider writing scripts if I can run atleast a few simulations from the GUI mode.

vsim_options.jpg

Arvind Gupta.

  • #8

Uh…

You do understand that empty box under «Other Vsim Options» can be typed in. That is where you would add the command switches like +acc=npr.

I think you should switch to using scripts as your GUI-fu seems to be very weak. Trying to develop expertise in the GUI is pointless IMO as it is way easier to script a simulation run.

Also if you aren’t using an SE version (which I’m not sure Mentor even sells anymore) or Questa you don’t even get optimization. The standard DE version doesn’t include optimization. I actually miss having optimization (DE) as it can really improve simulation performance on a large design if you are only interested in having visibility inside only a block or two.

  • #9

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


Uh…

You do understand that empty box under «Other Vsim Options» can be typed in. That is where you would add the command switches like +acc=npr.

Also if you aren’t using an SE version (which I’m not sure Mentor even sells anymore) or Questa you don’t even get optimization. The standard DE version doesn’t include optimization. I actually miss having optimization (DE) as it can really improve simulation performance on a large design if you are only interested in having visibility inside only a block or two.

OK thanks. Which switches should I use to avoid this problem (apart from +acc). I am first time to this tool.

Which version do you recommend using then; PE or something else?

Regards,
Arvind Gupta.

  • #10

OK thanks. Which switches should I use to avoid this problem (apart from +acc). I am first time to this tool.

Which version do you recommend using then; PE or something else?

Regards,
Arvind Gupta.

I’ve been trying to help, but you don’t seem to understand anything I post!? No version of Modelsim (from their website they only have PE now) supports vopt (i.e. optimization beyond the basic -O1). If you want to use optimization you have to have a Questa license. Do not use -novopt on the vsim command line it is not supported.

To avoid the message you need to make sure your vsim command line has only options like:
-L <lib_option>
-do <do_file>
-t <sim_resolution>
-g/G <parameter/generic>
-l <simulation log file>

most of the other options are for specific uses and being a novice you likely won’t need them.

Also make sure you don’t have something in the Simulate => Start Simulation => Verilog (tab) => User Defined Arguments (+<plusarg>) box.

Like I’ve said before…better yet just write a script to run the simulation…it’s less of a headache than what you are doing now.

Modelsim does output the vlog/vcom/vsim command lines used when running a simulation. Post them if this problem persists.

  • #11

Full Member level 3

Joined
Oct 28, 2012
Messages
174
Helped
0
Reputation

0

Reaction score
1
Trophy points
1,298
Activity points

3,040


Thanks for the description. I am new to Modelsim and Verilog. Maybe thereby this gap in understanding your posts. I am using ModelSim SE (10.7). It is a fresh install. I am using it for the purpose of learning Verilog. Hence I will prefer the GUI mode. Hence presently I wont need optimization and Questasim.

As understood from your previous posts, I need to add arguments in the’ Other VSim Options’ box to avoid the error. I am unable to decide which argument(s) to add in it. So could you pls. type out the exact string so that I can put it in the other vsim option box as it is? I suppose that would solve the entire problem.

BTW I checked. The Simulate => Start Simulation => Verilog (tab) => User Defined Arguments (+<plusarg>) box is empty.

Regards,
Arvind Gupta.

  • #12

You don’t have to run Modelsim from a command line, I’m only telling you to write a do script (which is basically just tcl) to compile and run a simulation. Doing so will be better for you in the long run.
e.g.
file: compile.do

# Start all fresh and clean
if [file exists work] {vdel -lib work -all;vlib work} else {vlib work}

# some sv packages
vlog ../rtl/pkg1.sv
vlog sim_pkg2.sv

# Design files
vcom ../../rtl/vhdl1.vhd
vcom -2008 ../rtl/vhdl2.vhd
vlog ../rtl/verilog1.sv
vlog ../rtl/verilog2.sv

# testbench
vlog ../tb/testbench.sv

# fw core repo simulation models
vlog ../sim/model1/bus_model1.v
vlog ../sim/model2/bus_model2.sv

# simulation tasks
vlog simulation_tasks.sv

# simulation testcase
vlog testcase.sv

and to run it
file: simulate.do

vsim -t ps +define+MY_DEFINE -L some_lib testcase simulation_tasks
run -all

You can run these at the ModelSim> command prompt (Transcript window) using the following commands:
do compile.do
do simulate.do

This is a lot easier and a lot easier to describe, I don’t use the gui menus (I only use the «gui» to get my waveforms displayed and to make it easier to select the signals in the waveform), so setting up a Modelsim project via the gui menus takes way too much effort for me and I don’t have the time to write up a tutorial to assist you.

Status
Not open for further replies.

Similar threads

  • Digital Design and Embedded Programming

  • ASIC Design Methodologies and Tools (Digital)

  • This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
    By continuing to use this site, you are consenting to our use of cookies.

Обычно, когда нужно симулировать FPGA проект, то создают специальные программы тестбенчи, например, на Verilog.

FPGA проект содержит модуль верхнего уровня со своими входами и выходами. Этот модуль нужно протестировать. Тестбенчи генерируют внешние сигналы к исследуемому модулю и потом, в процессе симуляции, можно будет увидеть все внутренние сигналы проекта. Написание тестбенчей не всегда простое занятие.
У нас было несколько статей на эту тему, например, вот симуляция с Icarus Verilog или вот про ModelSim.

Иногда можно обойтись и без тестбенчей. Я уже когда-то очень давно (хех, 10 лет назад) писал статью про симуляцию проекта в Quartus II v9 через Waveform.. Позже была статья про симуляцию в Quartus v13.

Там входные сигналы для тестирование проекта можно было просто рисовать в специальном редакторе. Было время и Альтера убрала эту возможность из Quartus. Потом, под влиянием общественности (университеты), снова вернула. Честно говоря, я давно не пробовал эту функцию квартуса, как-то не было потребности. Однако, на форуме человек спросил, а я не знаю что ответить. Решил попробовать сам, как работает такая симуляция в версии 20.1.

Первое, что я сделал, это выкачал и установил новый квартус 20.1
Я выкачал сразу три файла: QuartusLiteSetup-20.1.0.711-windows, ModelSimSetup-20.1.0.711-windows и max10-20.1.0.711.qdz. Это собственно сам САПР Quartus, симулятор ModelSim и пакет поддержки ПЛИС серии MAX10. Все эти скачанные файлы у меня лежат в одной папке Загрузки (Downloads).

quartus setup

Я запустил установку квартуса и она мне предложила поставить сразу все и квартус и симулятор ModelSim и пакет MAX10.

После установки квартуса я делаю простейший проект в графическом дизайне. Создаю проект через меню File => New Project Wizard и там важно только задать имя проекта и путь к папке проекта. Остальные кнопки я просто нажимаю в визарде «Далее» (Next) и все. Конкретную микросхему FPGA для проекта я выбираю первую попавшуюся, я же не собираюсь проект реально пробовать в ПЛИС, мне бы проcто с симуляцией разобраться.

Потом создаю новый файл схемы *.bdf и сохраняю его с именем проекта. На схему ставлю входы (input), выходы (output) и счетчик (lpm_counter). Для установки компонентов на схему делаю правый клик по схеме и в выпадающем меню выбираю Insert => Symbol… Там в поле ввода имени можно просто писать имя нужного компонента вроде input, output, and2 и другие.

Соединяю компоненты и даю имена сигналам, как показано на рисунке:

quartus prime schema

В параметрах счетчика многие из его неиспользуемых в моем проекте сигналов выключаю (Unused), чтобы не загораживать схему ненужным.

Теперь даже можно откомпилировать проект через меню Processing => Start Compilation.

Далее попробую просимулировать поведение этого проекта. Для этого создаю новый файл Waveform через меню File => New и в диалоговом окне в разделе Verification/Debugging Files выбираю пункт University Program VWF:

new vwf

Появляется вот такой редактор сигналов:

vfw editor

Выбираю меню Edit => Insert => Insert Node or Bus и появляется следующее диалоговое окно, в котором можно выбрать сигналы из проекта:

node ins

Проще всего нажать кнопку Node Finder и повыбирать те пины (входы и/или выходы), которые нужны для симуляции. Я выбрал clk, reset, enable и led.

node sel

Теперь нужно нарисовать входные сигналы. Делается это не сложно, выбираете соответствующую кнопку в тулбаре редактора и буквально рисуете сигналы выделением нужного интервала времени. Если сигналов не много, то это рисование должно быть не очень утомительным. Только клок не рисуйте каждый импульс, используйте специальную кнопку «Overwrite Clock» на тулбаре для задания входной частоты при симуляции.

После того, как нарисовал все входные сигналы можно пробовать симулировать через пункт меню
Simulation => Run Functional Simulation.

run functional simulation in quartus

В этом месте меня ждала неожиданная ошибка из-за которой симуляция не шла:

quartus simulation error

Я честно не знаю, отчего появляется эта ошибка: Error (suppressible): (vsim-12110) The -novopt option has no effect on this product. -novopt option is now deprecated and will be removed in future releases. Однако, ее похоже легко обойти. Прежде чем делать симуляцию я захожу в настройки симуляции через меню Simulation => Simulation Settings

Тут появляется окно редактирования скрипта симуляции и в нем я убираю опцию «-novopt».

novopt

Что это значит не знаю.. Сохраняю настройки. После этого повторно запускаю функциональную симуляцию и она проходит успешно! При этом открывается новый редактор waveform:

result

Здесь выходной сигнал led уже отображен синим цветом и показывается, как он изменяется в зависимости от входных сигналов reset и enable.

Я не большой сторонник подобных методов симуляции, но они работают и в принципе ими можно пользоваться. Нужно еще заметить, что для подобной симуляции так же используетсЯ симулятор MoidelSim, но процесс создания тестбенча скрыт от пользователя. Тестбенч создается автоматически на основе нарисованной пользователем временной диаграммы.

Mentor Graphics ModelSim SE-64 10.7

Изображение

Mentor Graphics ModelSim SE-64 10.7 | 755.6 mb

Mentor, a Siemens business, has unveiled ModelSim 10.7, is unified debug and simulation environment gives today’s FPGA designers advanced capabilities in a productive work environment.

General Defects Repaired in 10.7

* dvt94966 — The capstat command and options have been redesigned. The capstat option for vsim is as follows:

-capstats[=[,]] Enables capstats report
are du, decl, line, eor and filename=.
Arguments are specified separated by comma.
The argument du has suboptions: summary, details and duname=
These suboptions can be specified separated by ‘+’

The capstat comamnd is as follows:

capstats [-du [+summary | +details] [+duname=]] [-decl] [-line] [-filename ]

If no option is specified, capstats prints summary report under top categories.
A design unit based breakup of memory can be seen by specifying -du option. For extra details, summary or details options can be used. Specific design units can be specified using duname suboption. If design units are specified, then design unit based report is generated only for the specified design units.
-decl option prints declaration based report for dynamic objects.
-line option prints line based report for dynamic objects.
* dvt97602 — (results) If the file specified with vsim’s «-f» switch was a DOS format file containing line-continuation character(s) », then vsim emitted incorrect «(vsim-3369) Top-level design unit specified more than once» errors. The error message didn’t contain a module name, but just an empty » containing a new-line character.

User Interface Defects Repaired in 10.7

* dvt70665 — Questa & ModelSim fail to run if installed in a path containing spaces. This issue is now resolved.
* dvt102099 — The noforce command incorrectly returns an error status when used in vsim -batch mode. This issue has been resolved.
* dvt104114 — An incorrect warning «VSIM-3581» is no longer issues when using -appendlog along with -restore or -load_elab options.
* dvt36562 — Using the mouse scroll wheel in the Memory View window now scrolls the window contents correctly.

SystemVerilog Defects Repaired in 10.7

* dvt96999 — (results) Optimized full timing gate-level cells could drive incorrect values where 2 wired bufif0/bufif1/notif0/notif1 primitives with shared selects (select having an X or Z value) drive a single output port.
* dvt97601 — (results) vsim crashed, when multiple specify paths or multiple timing checks were present on the same line (in specify block), and «-sdfreport» was specified on vsim command line.
* dvt97533 — (results) Interconnect delay was not correct for all to X transitions as per 1364-2001 LRM.
* dvt96725 — (results) A protected object cannot have its value reported using VPI.
* dvt99392 — (results) Unnamed generate block in a protected region would not have its name changed to when it was involved in the output from a %m format specifier. This has been fixed.
* dvt99463 — Fixed memory leak when forces on optimized cell ports are present.
* dvt32206 — (results) $nochange timing check did not work properly in all cases.
* dvt88864 — (results) Fixs were made to SV extension -svext=iddp. For existing usage of vlog/vopt -svext=iddp, a recompilation from SV files is required due to this change.
* [nodvtid] — Questa now supports «integral_number»s as a part of an «enum_identifier» within an «enum_name_declaration» production.
* [nodvtid] — In fatal errors involving virtual interfaces Questa may have emitted an incorrect file number in the message.
* dvt102853 — Fixed a simulator internal error when a DPI open array with an unsized packed dimension is used. The message is similar to: Questa has encountered an unexpected internal error: ../../src/vsim/svdpi_runtime.c(2216).

VHDL Defects Repaired in 10.7

* dvt93451 — (results) Vsim crashed when bit slices wider than 256, of VHDL signals, were read through built-in UVM backdoor functions.
* dvt94049 — The compiler incorrectly reported the following error when encountering an alias of an operator function instance:

** Error: Alias with operator symbol designator must denote a function.

The compiler also incorrectly generated code for newly-defined operator functions with generic-typed parameters.
* dvt94831 — Added expected return type to the error message reporting overload resolution of a function call failed.
* dvt93277 — The vmake command would not work when there was a dependency on an encrypted design unit.
* dvt96070 — An error could occur if elaboration of a VHDL required a function call. To have an error the function would need to have a case statement where one or more of the case statement’s choices are ranges.
* dvt95670 — The attributes A’range and A’reverse_range would give incorrect ranges if A’s bounds are defined with impure function calls.
* dvt97035 — (results) In some cases, assignment statement within a for loop would have their line number changed to something else. This would result in incorrect coverage information being generated. Stepping through the loop would case the source display to jump to an incorrect line.
* dvt98225 — (results) In certain conditions involving a VHDL package, vopt issued an incorrect «(vopt-3369) Top-level design unit specified more than once.» error. This has been fixed.
* dvt97593 — Direct instantiation of an entity with a package instantiation in one of the generic map associations could cause incorrect code to be generated by the compiler, possibly leading to a loading failure message from the simulator.
* dvt98805 — (source) In case, case generate, selected signal assignments, and selected variable assignments statements an error was not reported if the selector expression was a range. For example «CASE s’RANGE» would not result in an syntax error. It was either treated as length of the range or an internal error was reported from vcom or vopt.
* dvt99166 — Writing a STRING to the FILE STD.TEXTIO.OUTPUT (which is stdout) using the function STD.TEXTIO.WRITE[TEXT,STRING] could result in a crash if the string contained two terminating LF (0x0a) characters.
* dvt99443 — Record aggregate with OTHERS could result in a vcom or vopt crash if the expression was not of the same subtype as the record element(s) being associated.
* dvt101580 — Certain styles of continuous selected signal assignment statements with zero delay would not execute properly when optimized, either in an optimized design or a design compiled with the PE version of the product.
* dvt103341 — (source) If the a bound of a range contained a function call, in some cases vcom failed to check to see if an actual argument to a function was in the subtype of the formal argument. This would occur if the actual argument was locally static and the formal’s subtype was locally static. This would allow invalid code to execute. Depending how the function handle invalid argument values the simulation could generate errors or incorrect results.
* dvt103675 — In some cases where are large number of objects are declared the order of declaration could negatively impact vcom performance.
* dvt104516 — (results) Predefined attribute S’LAST_VALUE could produce incorrect results if it was evaluated on a changing composite signal as deltas were occurring during the same timestep.
* dvt103546 — The implicit matching operators for STD_ULOGIC, when the operands were locally static, would not be evaluated correctly by the compiler when performing an optimization to replace the expression with a known value
* dvt103968 — Subprogram instantiation called with out-of-range actual would sometimes not be caught as an error.
* dvt103025 — (results) In some specific cases of procedures being used before they are defined the tool was giving incorrect output. This has been fixed.
* dvt67231 — Special characters in a comment that was inside an encrypted region could cause a parsing error.

SystemC Defects Repaired in 10.7

* dvt92791 — Bug in the sccom distributed flow with missing systemc exported modules has been fixed.
* dvt95642 — sccom merge error (sccom-6165) with a circular dependent forward declared module instantiation has been fixed.
* dvt81733 — (results) vsim option ‘-scchkpntrestore’ will allow checkpoint/restore feature with SystemC in the design as long as the SystemC hierarchy is dummy wrapper without any active constructs like signals, variables, processes etc. If the design has active SystemC usage, vsim will try to ignore the unsupported constructs and proceed with the simulation, but if there is a usage that cannot be ignored, vsim will throw a fatal run time error. SystemC designs compiled with the 10.6 release will need to be recompiled with the 10.6a release.
* dvt99466 — While trying to print the vsim-6577 error, for a sc_module, sc_port or a sc_prim_channel global or static SystemC object that is being destroyed at exit time, vsim might crash in some odd scenarios. This issue is now fixed. vsim will report a vsim-6522 warning instead and exit gracefully.

Mixed Language Defects Repaired in 10.7

* dvt95057 — (source) Fixed a bug where a SystemVerilog task was being allowed inside a SystemVerilog function even if it contained a DPI task call. The DPI task call can potentially have delays in it. It is illegal to call a task from a function in SystemVerilog but we do allow it in case task does not contain any delays. Now, the check for the delay in the task also includes the check for DPI task call. This change may result in some of the customer designs failing to compile. It is recommended to fix the designs.
* dvt96160 — (results) Now built-in version of uvm_hdl_read, correctly reads the values from arbitrary slices of VHDL array signals with non-zero LSB/MSB indices.

WLF and VCD logging Defects Repaired in 10.7

* dvt93896 — The [wlfman filter] and [wlf2vcd] performance has been dramatically improved for WLF files containing large numbers of single bit Verilog nets.

General Enhancements in 10.7

* dvt15443 — When vsim crashes with SIGSEGV signal, we were only giving HDL call stack. But, it wasn’t complete stack in case of the crash in user’s C code (like DPI/PLI etc). Now, vsim will generate stacktrace file and print full stacktrace in transcript as well, in addition to existing HDL call stack.
* dvt94809 — Added switch «-pdupath[=&ltlib_path>]» which can be used with vsim to specify library path for PDU when library is moved after top level optimized design unit creation. If optional &ltlib_path> is not specified PDU will be looked into current working directory.
* [nodvtid] — (results) -novopt flow has been deprecated and commands will flag below error now.

** Error (suppressible): (vlog-12110) All optimizations are disabled because the -novopt option is in effect.
This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for
Debug or PLI features, please see the User’s Manual section on Preserving Object Visibility with vopt. -novopt
option is now deprecated and will be removed in future releases.

Using -novopt with optimized design in vsim will also flag below error.

** Error (suppressible): (vsim-12110) -novopt option has no effect when used with the optimized design.
-novopt option is now deprecated and will be removed in future releases.

In 10.7 release, user can suppress this error. But, it is recommended to remove any dependency on -novopt flow from user’s design environment. Future releases will remove -novopt flow completely.

User Interface Enhancements in 10.7

* dvt97716 — (results) Systemverilog Named Events now display a value in the GUI when examined or shown in any window where named items display values. The value for a Named Event will be the last simulation time the event was triggered. It will be displayed in the form «->@45 ns». If the event was never triggered, then the value «» will be displayed.

SystemVerilog Enhancements in 10.7

* [nodvtid] — Use the vlog switch svext=+mttd to reinterpret «» (tick-tick-double quote) as `» (tick-double quote) within macro text expansion.
* [nodvtid] — Vlog can optionally ignore commas, «,», and right parens, «)», when found within a `» `» string that forms a list of macro argument actuals within another macro expansion. The -svext=+mtdl switch enables this behavior.
* dvt96810 — (results) The new default vlog/vopt behavior to ignore PATHPULSE$ specparam’s can be overriden by using the +pathpulse command line option.
* [nodvtid] — Parenthesis around a specify terminal descriptor are now recognized as an LRM extension. The SV parser will now accept parens when the error message 13290 is either suppressed or demoted to a warning.
* [nodvtid] — Allow empty procedural case statements. I.e.: case statements with no branches defined. By default the vlog compiler will continue to emit an error when no branches are defined. This error is suppressible.
* [nodvtid] — Vlog’s command line option -svfilesuffix now accepts more characters as valid.
* dvt103176 —

Constraint solver vsim switch -solvefailseverity has been enhanced. The error message for randomize() failure and randomize(null) failure can be controlled separately with different severities. The existing usage of -solvefailseverity is not impacted.

-solvefailseverity=[]

Specify error message severity when randomize() and randomize(null) failures are detected.
When both and are present, specifies the severity of randomize(),
and specifies the severity for randomize(null). When only is present, the
severity setting applies to both randomize() and randomize(null).
Valid values for both and :
0 — no error
1 — warning
2 — error
3 — failure
4 — fatal

The companion modelsim.ini variable has been enhanced for the similar change.

* dvt5888 — Questa now supports reading vector based port declarations in evcd file. The option to read this file is -vcdstim which is an existing option. There is no additional option needed to use this functionality. Vector based evcd file can be generated from Questa using +dumpports+collapse option.

SystemC Enhancements in 10.7

* [nodvtid] — sccom has a new option ‘-sctop ‘. This option should be used along with the ‘-nodebug’ option. Every SystemC module specified with the SC_EXPORT_MODULE() macro should be specified on the sccom command line with the ‘-sctop ‘ option during compilation, e.g: sccom -sctop -sctop … .

WLF and VCD logging Enhancements in 10.7

* dvt94883 — In post-sim mode (vsim -view), The ‘Cover Directives’ window is now populated.

Изображение

About Mentor Graphics ModelSim. Modelsim HDL simulator provides FPGA customers with and easy cost-effective way to speed up FPGA development, lab bring up and test. Many FPGA designers go to the lab before adequately vetting their design. This means weeks or even months of inefficient debugging time in the lab. Testing in the lab has limited visibility of the signals in design. It can take 8 hours to do a place and route just instrument additional signals or make a small bug fix. With simulation the debug loop is much faster and there is complete visibility into the signals in the design. Simulation enables a much higher quality FPGA design before entering the lab allowing time spent during lab debug much more productive and focused.

In addition to supporting standard HDLs, ModelSim increases design quality and debug productivity. ModelSim’s award-winning Single Kernel Simulator (SKS) technology enables transparent mixing of VHDL and Verilog in one design. Its architecture allows platform-independent compile with the outstanding performance of native compiled code.

The graphical user interface is powerful, consistent, and intuitive. All windows update automatically following activity in any other window. For example, selecting a design region in the Structure window automatically updates the Source, Signals, Process, and Variables windows. You can edit, recompile, and re-simulate without leaving the ModelSim environment. All user interface operations can be scripted and simulations can run in batch or interactive modes. ModelSim simulates behavioral, RTL, and gate-level code, including VHDL VITAL and Verilog gate libraries, with timing provided by the Standard Delay Format (SDF).

About Mentor Graphics. Mentor Graphics Corporation is a world leader in electronic hardware and software design solutions, providing products, consulting services and award-winning support for the worlds most successful electronic, semiconductor and systems companies. Established in 1981, the company reported revenues in the last fiscal year in excess of $1.15 billion. Corporate headquarters are located at 8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.

Product: Mentor Graphics ModelSim
Version: SE 10.7
Supported Architectures: x64
Website Home Page :

http://www.mentor.com

Language: english
System Requirements: PC
Supported Operating Systems: Windows 7even or newer
Size: 755.6 mb

DOWNLOAD LINKS :

Код: Выделить всё
https://rapidgator.net/file/87c452d4981910a2cfa0ba3aa35840a5/qtz2n.Mentor.Graphics.ModelSim.SE64.10.7.rar.html

http://nitroflare.com/view/68F0AABCA98B5D5/qtz2n.Mentor.Graphics.ModelSim.SE64.10.7.rar

https://turbobit.net/g5diwuhdy7aj/qtz2n.Mentor.Graphics.ModelSim.SE64.10.7.rar.html

Понравилась статья? Поделить с друзьями:
  • Error supported eeprom not found
  • Error suggest parentheses around assignment used as truth value
  • Error subscript used with non array variable как исправить
  • Error subreport could not be shown перевод
  • Error subquery has too many columns