Modelsim fatal license error

You may see the following error message when you run the ModelSim® -Altera®  software version 6.1d if you have a floating license and the mgcld license daemon is too old: Unable to checkout a license

The browser version you are using is not recommended for this site.
Please consider upgrading to the latest version of your browser by clicking one of the following links.

  • Safari
  • Chrome
  • Edge
  • Firefox

Article ID: 000080209

Content Type: Install & Setup

Last Reviewed: 04/13/2010

Fatal License Error: Unable to checkout a license. (ModelSim-Altera 6.1d)

Environment

BUILT IN — ARTICLE INTRO SECOND COMPONENT

Description

You may see the following error message when you run the ModelSim® -Altera®  software version 6.1d if you have a floating license and the mgcld license daemon is too old:

Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem. Modelsim-Altera uses the following environment variables to check the licenses (listed in the order of preference) 1. MGLS_LICENSE_FILE 2. LM_LICENSE_FILE.

The mgcld daemon included with the Quartus® II software version 6.0 in <Quartus II installation directory>win is version 8.2, which is too old. The correct daemon is provided beginning with the Quartus II software version 6.1.

To use the ModelSim-Altera software version 6.1d, you should use version 9.5 of the mgcld daemon included with the ModelSim-Altera software version 6.1d. The mgcld daemon is in <ModelSim-Altera installation directorywin32aloem. If you need the mgcld daemon for a different operating system, download the appropriate file at the License Daemon Downloads web page.

  • Description

Need more help?

Alt text to be used for img

Give Feedback

Disclaimer

I’m trying to run a functional simulation on Quartus Prime Lite, but I get this error:

Unable to checkout a license. Vsim is closing.
** Fatal: Invalid license environment. Application closing.
Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem.
Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference)
1. MGLS_LICENSE_FILE
2. LM_LICENSE_FILE.

I just want to use Quartus to run some simulations.


  • All forum topics


  • Previous topic

  • Next topic

5 Replies

Hi,

Do you have license for Modelsim — Intel FPGA Edition ?

If no, use ModelSim-Altera Starter Edition.

If yes check your license validity & environment variable.

Let me know if you need any further assistance.

Regards

Anand

I don’t think so. I installed the Quartus Prime Lite v18. I assumed I wouldn’t need any licenses because it’s a free version. I just ran the instalation by clicking the QuartusLiteSetup-18.1.0.625-windows.exe.

How do I use ModelSim-Altera Starter Edition? This option doesn’t appear in Assignments > Settings > EDA tool settings > simulation.

When you install Quartus, you have the option of installing the Starter edition or the regular edition. If you accidentally installed the regular edition, you would get this license issue. If you have the modelsim_ase directory as mentioned, then you installed the correct version and should check the environment variables.

Hello, I had exactly the same error when trying to simulate the asynchronous counter in this video: https://www.youtube.com/watch?v=kRXWk2llxVk (minute 11:57)
Seeing several comments about it, it occurred to me to go to this path C:intelFPGA_lite17.0
There, I found 2 files: modelsim_ase and modelsim_ae
What I did was delete the "modelsim_ae", then I closed the vwf simulation, I recompiled my schematic, I opened the VWF University Program, I put the entries with their values ​​and I managed to simulate it well (the time diagram appeared).
Let's see if that works for you...


  • All forum topics


  • Previous topic

  • Next topic

Topic: Altera ModelSim Starter edition license error  (Read 19732 times)

0 Members and 1 Guest are viewing this topic.

I thought I would have a go with Altera ModelSim. I already have Quartus II 14.1 Web Edition installed and running fine, compiling my designs. However, when I try to run ModelSim, it complains with ‘Fatal License Error’ saying it is unable to checkout a license. I have carefully made sure that I ran the Altera Starter Edition including selecting that option during the installation process and it says that no license is required. I tried installing it on a different computer but I get exactly the same message when I try to run it. The first computer was 64 bit Windows 7, the other one was 32 bit Windows 7.

Do I need some sort of license file to keep it happy, even though Altera says it doesn’t need it?


Logged


I think you have to enable the talkback for that to work. Let me check where the setting is at.


Logged


It’s on: Tools->Options under General->Internet Connectivity and click on the TalkBack Options button.

Under Enable check the «Enable sending TalkBack data to Altera» it only sends metadata not your projects.

Edit: that menu is in Quartus II btw.

« Last Edit: January 05, 2015, 12:44:45 am by miguelvp »


Logged


It’s on: Tools->Options under General->Internet Connectivity and click on the TalkBack Options button.

Under Enable check the «Enable sending TalkBack data to Altera» it only sends metadata not your projects.

Edit: that menu is in Quartus II btw.

Many thanks, I am indebted to you. I don’t think I would ever have found that. I did do a lot of web searching for the answer before asking here.


Logged


Not a problem, Quartus II 14.1 Web Edition is free so they do require you enable the talkback for some of the functionality including also SignalTap.

In that dialog box you can find details on what where the data that is sent is stored in case you want to know more about what it’s being sent. I did look at it a while back and it didn’t look too intrusive.


Logged


Not a problem, Quartus II 14.1 Web Edition is free so they do require you enable the talkback for some of the functionality including also SignalTap.

In that dialog box you can find details on what where the data that is sent is stored in case you want to know more about what it’s being sent. I did look at it a while back and it didn’t look too intrusive.

Thanks. I don’t have a problem with sending back data to them, I doubt if they will be that interested in what I’m  up to!


Logged


It’s on: Tools->Options under General->Internet Connectivity and click on the TalkBack Options button.

Under Enable check the «Enable sending TalkBack data to Altera» it only sends metadata not your projects.

Edit: that menu is in Quartus II btw.

Oh dear, I tried that but still get the message saying I need a license. I made sure I could log in to the Altera website with my account so not sure what I haven’t done. I tried restarting Quartus and even tried rebooting the PC but still no. Any clue as to what else I need to do?


Logged


Are you downloading the stand alone ModelSim or the one that came with Quartus II 14.1 Web Edition?

I mean is it in C:altera14.0modelsim_asewin32aloem ?


Logged


Are you downloading the stand alone ModelSim or the one that came with Quartus II 14.1 Web Edition?

I mean is it in C:altera14.0modelsim_asewin32aloem ?

Yes, it’s in that directory


Logged


Strange,

I would ask support at:
http://www.altera.com/mySupport

I tried on two systems with Win7 professional 64 bit and they both work out of the box, but I never installed modelsim separately


Logged


« Last Edit: January 05, 2015, 11:30:09 pm by mkissin »


Logged


There is a known bug with the licensing for ModelSim at the moment:

http://dl.altera.com/?edition=web

You need to apply a patch to allow it to work properly.

Yes, I contacted Altera support and they told me of the patch — that sure does explain things! I can’t try this till later but it sounds like this should fix it.

Thank you miguelvp and mkissin for your help.


Logged


That was it, all working now. Thanks again guys.


Logged


It seems that the ModelSim 14.1-0.01m patch is no longer available for download, and instead there’s a new installer package with the same version number.


Logged


It seems that the ModelSim 14.1-0.01m patch is no longer available for download, and instead there’s a new installer package with the same version number.

That’s not very clever!


Logged


That was it, all working now. Thanks again guys.

Hi: I’m also having the exact same problem, can you please post the link to the patch? Did you have an online technical support helping you with it? since I cannot find the link to contact support staff, only documentations…


Logged


That was it, all working now. Thanks again guys.

Hi: I’m also having the exact same problem, can you please post the link to the patch? Did you have an online technical support helping you with it? since I cannot find the link to contact support staff, only documentations…

I believe it is fixed in the latest software, have you tried downloading that? Yes, I did file a technical support question. Have you created an account on the Altera website? I see they have a beta of a new version of their website so it may have all changed since I logged in last.


Logged


That was it, all working now. Thanks again guys.

Hi: I’m also having the exact same problem, can you please post the link to the patch? Did you have an online technical support helping you with it? since I cannot find the link to contact support staff, only documentations…

I believe it is fixed in the latest software, have you tried downloading that? Yes, I did file a technical support question. Have you created an account on the Altera website? I see they have a beta of a new version of their website so it may have all changed since I logged in last.

I downloaded the latest version along with the patch ( QuartusSetup-14.1.1.190) patched it, still getting the exact same error :(


Logged


Earlier in this thread someone suggested that you need to turn on talkback, did you do that?


Logged


Earlier in this thread someone suggested that you need to turn on talkback, did you do that?

Yes I did! :-[


Logged


Earlier in this thread someone suggested that you need to turn on talkback, did you do that?

Yes I did! :-[

I’m thinking about ask support for help, but was struggling to find the link to contact a real support staff… Is the patch posted right now the one that solved your problem? :-


Logged


Earlier in this thread someone suggested that you need to turn on talkback, did you do that?

Yes I did! :-[

I’m thinking about ask support for help, but was struggling to find the link to contact a real support staff… Is the patch posted right now the one that solved your problem? :-

The patch that was posted at the time I originally reported the problem here was the one that fixed it.

Go here https://www.altera.com/myaltera/mal-signin.jsp and, if you don’t have one, create an account. Then you’ll be able to click on MySupport and issue a support request.


Logged


Earlier in this thread someone suggested that you need to turn on talkback, did you do that?

Yes I did! :-[

I’m thinking about ask support for help, but was struggling to find the link to contact a real support staff… Is the patch posted right now the one that solved your problem? :-

The patch that was posted at the time I originally reported the problem here was the one that fixed it.

Go here https://www.altera.com/myaltera/mal-signin.jsp and, if you don’t have one, create an account. Then you’ll be able to click on MySupport and issue a support request.

Thanks a lot man  :D


Logged


I have written some VHDL code which compiles fine in Quartus Prime Lite edition. I’d now like to setup a test bench in order to simulate the code. I’m following a tutorial by Intel (link to youtube video) which says that after analysis and synthesis I go to tools -> run simulation tool -> RTL simulation. This launches modelsim. I have the student version installed with the license key placed in the root install directory. When I right click on my entity to try and select «create wave» this button is greyed out which it is not in the tutorial. I’ve shown this below:

Modelsim

If i double click on the entity I get this error down in the transcript window:

** Error: ModelSim PE Student Edition license key file not found at C:Modeltech_pe_edu_10.4awin32pe_edu..student_license.dat.
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to one or more problems with the license key such as:

# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
# 
# Please go to http://www.model.com and download an updated copy of the ModelSim PE Student Edition.
# Error loading design 

However I have placed the license file where the error says it is looking for the file — in C:Modeltech_pe_edu_10.4awin32pe_edu however I still get the error.

update: Another site suggested adding an environment variable to the location. I added «LM_LICENSE_FILE» to environment variables and still get the same issue.

fgagnaire's user avatar

asked Aug 11, 2019 at 9:38

Blargian's user avatar

  • Copy student_license.dat file in C:Modeltech_pe_edu_10.4a as well as in C:Modeltech_pe_edu_10.4awin32pe_edu,
  • After copying go back to desktop, right click on ModelSim PE student edition
  • Click on run as administrator.

General Grievance's user avatar

answered Nov 30, 2020 at 18:45

jonty's user avatar

Skip to main content

Forum for Electronics

Forum for Electronics

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals… and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

  • Digital Design and Embedded Programming

  • ASIC Design Methodologies and Tools (Digital)

You are using an out of date browser. It may not display this or other websites correctly.
You should upgrade or use an alternative browser.

HELP ! MODELSIM PE student version license issue


  • Thread starter

    Vincent Girard


  • Start date

    Jul 2, 2009

Status
Not open for further replies.

  • #1

Newbie level 6

Joined
Feb 26, 2009
Messages
13
Helped
1
Reputation

2

Reaction score
1
Trophy points
1,283
Activity points

1,387


modelsim license

Hi my friends,

I really need your help !
Did someone had the following message from MODELSIM student version.

I’ve just downloaded MODELSIM PE student version from www.model.com
What does that mean ? I did nothing special for the license issue.
Do I need to add some kind of extra license file somewhere ?

Thanks in advance :

This is the message.

// Copyright 1991-2009 Mentor Graphics Corporation
# // All Rights Reserved.
# //
# // THIS WORK CONTAINS TRADE SECRET AND
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# // AND IS SUBJECT TO LICENSE TERMS.
# //
#
# // NOT FOR CORPORATE OR PRODUCTION USE.
# // THE ModelSim PE Student Edition IS NOT A SUPPORTED PRODUCT.
# // FOR HIGHER EDUCATION PURPOSES ONLY
# //
# vsim work.test_counter
# ** Error: ModelSim PE Student Edition license key file not found at
C:Modeltech_pe_edu_6.5awin32pe_edu..student_license.dat.
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to
one or more problems with the license key such as:
# — it is not found
# — it has expired
# — it is not for this user
# — it is not for this computer
# — it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of
the ModelSim PE Student Edition.
# Error loading design
vsim work.counter
# vsim work.counter
# Error loading design
vsim work.test_counter

  • #2

Newbie level 6

Joined
Feb 26, 2009
Messages
13
Helped
1
Reputation

2

Reaction score
1
Trophy points
1,283
Activity points

1,387


modelsim student license problem

Don’t tell me no one have ever had this problem, Come on !

  • #3

Member level 1

Joined
Oct 16, 2006
Messages
37
Helped
6
Reputation

12

Reaction score
1
Trophy points
1,288
Activity points

1,483


modelsim student license

i expect ur r working in windows. U need to request for a license file from Mentor. Details should be on their site. License file would be around 1KB and u need to set an environment variable «LM_LICENSE_FILE» pointing to this file

  • #4

Advanced Member level 3

Joined
May 5, 2008
Messages
968
Helped
80
Reputation

162

Reaction score
55
Trophy points
1,308
Location

Shang Hai

Activity points

4,679


modelsim license student

you’d better use it in the linux machine!

  • #5

Member level 3

Joined
Jun 29, 2009
Messages
67
Helped
6
Reputation

12

Reaction score
3
Trophy points
1,288
Location

India

Activity points

1,706


modelsim student edition download

once u complete the installation u will be redirected to model/xilinx website for registration.then a license fill of 1 kb will be sent to u. save this license.dat file in LM_LICENSE_FILE in any folder.go to my computer.right click properties, advanced. Set variable give this LM_…._FILE and set the path of license.dat file as its variable.

then ur tool should be working

  • #6

Newbie level 1

Joined
Jan 5, 2010
Messages
1
Helped
0
Reputation

0

Reaction score
0
Trophy points
1,281
Location

Bangalore

Activity points

1,284


Thanks a lot ksrinivasan, I had the same problem but my tool is working now.

Status
Not open for further replies.

Similar threads

  • Digital Design and Embedded Programming

  • ASIC Design Methodologies and Tools (Digital)

  • This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
    By continuing to use this site, you are consenting to our use of cookies.

OpenCores

no use no use 1/1 no use no use

Multisim

by kian_mary on Oct 3, 2015
kian_mary

Posts: 9

Joined: Jul 27, 2015

Last seen: Nov 10, 2017

I have installed Modelsim SE 10.1c and I have followed the readme file.but when I want to run the software, it shows this message: » fatal license error unable to checkout a viewer license necessary for use of the Modelsim graphical user interface. Vsim is closing.» how can I fix it?

RE: Multisim

by dgisselq on Oct 5, 2015
dgisselq

Posts: 247

Joined: Feb 20, 2015

Last seen: Jul 15, 2022

This sounds like an issue you need to take up with ModelSim. You can find contact information for their support team on their web page.

Dan

RE: Multisim

by kian_mary on Oct 7, 2015
kian_mary

Posts: 9

Joined: Jul 27, 2015

Last seen: Nov 10, 2017

This sounds like an issue you need to take up with ModelSim. You can find contact information for their support team on their web page.

Dan

thanks, the problem is solved. I have installed modelsim 32, and my system type is 64-bit! that was the problem.

no use no use 1/1 no use no use

© copyright 1999-2023
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.

Понравилась статья? Поделить с друзьями:
  • Model not inserted due to validation error
  • Model load error meshes morrowind
  • Model error matlab
  • Mode exception not handled windows 10 как исправить
  • Mode 255 flash status error